Aggressor-Victim Alignment for worst-case delay noise

Students:

Primary Contact

Ravikishore Gandikota    [email protected]

Due to capacitive coupling, the switching characteristics of a net (termed as the victim) is affected by that of neighbouring nets (termed as aggressors).  Noise due to capacitive-coupling continues to be an important concern when performing timing verification of physical designs. Therefore, modeling the worst-case delay noise is a key issue in static timing analysis (STA).  

Now, delay-noise is very sensitive to the skew between the aggressor and victim transition times. Therefore, it is non-trivial to find the worst-case alignment between the aggressor and the victim transitions, such that the output transition time of the noisy victim is maximized.  For instance, given two victim input transition times, it is not clear which of the two will result in a later noisy output transition time without performing a complete delay-noise analysis for both cases.  

Earlier approaches either use heuristics or perform expensive searches in the victim timing window to solve the aggressor-victim alignment problem. In contrast to these approaches, we present an analytical result that obviates the need for any enumeration of the victim transition time in its timing window. We show that the latest output transition time of a victim net occurs only when its input transitions at the latest switching time in its timing window, thereby significantly simplifying the aggressor-victim alignment problem. Using this result, we show a speedup of up to 7X over existing heuristic solutions on extracted netlists in 130nm technology.

Publications:

Victim Alignment in Crosstalk Aware Timing Analysis

Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Murat Becer, “Victim Alignment in Crosstalk Aware Timing Analysis,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2007 ©IEEE File: [PDF Document]