Publications

  1. Conference Papers
  2. Journals
  3. Invited Articles
  4. Workshop Papers
  5. Books
  6. Book Chapters

Conference Papers

  1. Joseph G. Letner, Michael Barrow, Eunseong Moon, Paras R. Patel, Julianna M. Richie, Jordan L. W. Lam, Jungho Lee, Abhilasha Kamboj, Bhavika Mani, Yi Sun, Gabriele Atzeni, Beomseo Koo, Dawen Cai, Dennis Sylvester, James D. Weiland, Hun-Seok Kim, Taekwang Jang, Jamie Phillips, David Blaauw, Cynthia A. Chestek. “Efficient, rapid, and minimally invasive implantation of individual non-functional motes with penetrating subcellular-diameter carbon fiber electrodes,” Society for Neuroscience Conference 2023, November, 2023 ©IEEE
  2. Pierre Abillama, Zichen Fan, Yu Chen, Hyochan An, Qirui Zhang, Seungkyu Choi, David Blaauw, Dennis Sylvester, Hun-Seok Kim, “SONA: An Accelerator for Transform-Domain Neural Networks with Sparse-Orthogonal Weights,” 34th IEEE International Conference on Application-specific Systems, Architectures, and Processors (ASAP), July 2023, Best Paper Award ©IEEE (.pdf)
  3. Zichen Fan, Qirui Zhang, Pierre Abillama, Sara Shoouri, Changwoo Lee, David Blaauw, Hun-Seok Kim, Dennis Sylvester, “TaskFusion: An Efficient Transfer Learning Architecture with Dual Delta Sparsity for Multi-Task Natural Language Processing,” IEEE International Symposium on Computer Architecture (ISCA), June 2023 ©IEEE (.pdf)
  4. Jungho Lee, Joseph Letner, Jongyup Lim, Yi Sun, Seokhyeon Jeong, Yejoong Kim, Beomseo Koo, Gabriele Atzeni, Jiawei Liao, Julianna Richie, Elena della Valle, Paras Patel, Taekwang Jang, Cynthia Chestek, Jamie Phillips, James Weiland, Dennis Sylvester, Hun-Seok Kim, David Blaauw, “A Wireless Neural Stimulator IC for Cortical Visual Prosthesis,” 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2023 ©IEEE (.pdf)
  5. Chien-Wei Tseng, Zhen Feng, Zichen Fan, Hyochan An, Yunfan Wang, Hun-Seok Kim, David Blaauw, “A Reconfigurable Analog FIR Filter Achieving −70dB Rejection with Sharp Transition for Narrowband Receivers,” 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Invited Paper to The IEEE Solid-State Circuit Letters (SSCL), Special Section on VLSI, June 2023 ©IEEE (.pdf)
  6. Yufeng Gu, Arun Subramaniyan, Tim Dunn, Alireza Khadem, Kuan-Yu Chen, Somnath Paul, Md Vasimuddin, Sanchit Misra, David Blaauw, Satish Narayanasamy, Reetuparna Das, “GenDP: A Framework of Dynamic Programming Acceleration for Genome Sequencing Analysis,” IEEE International Symposium on Computer Architecture (ISCA), June 2023 ©IEEE (.pdf)
  7. Hyochan An, Yu Chen, Zichen Fan, Qirui Zhang, Pierre Abillama, Hun-Seok Kim, David Blaauw, Dennis Sylvester, “A 8.09TOPS/W Neural Engine Leveraging Bit-Sparsified Sign-Magnitude Multiplications and Dual Adder Trees,” IEEE International Solid-State Circuits Conference (ISSCC), February 2023 ©IEEE (.pdf)
  8. Ji-Hwan Seol, Heejin Yang, Rohit Rothe, Zichen Fan, Qirui Zhang, Hun-Seok Kim, David Blaauw, Dennis Sylvester, “A 1.5μW End-to-End Keyword Spotting SoC with Content-Adaptive Frame Sub-Sampling and Fast-Settling Analog Frontend,” IEEE International Solid-State Circuits Conference (ISSCC), February 2023 ©IEEE (.pdf)
  9. Leul Belayneh, Haojie Ye, Kuan-Yu Chen, David Blaauw, Trevor Mudge, Ronald Dreslinski, Nishil Talati, “Locality-aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems,” 31st International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2022 ©IEEE (.pdf)
  10. Xin He, Kuan-Yu Chen, Siying Feng, Hun-Seok Kim, David Blaauw, Ronald Dreslinski, Trevor Mudge, “Squaring the circle: Executing Sparse Matrix Computations on FlexTPU—a TPU-like processor,” 31st International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2022 ©IEEE (.pdf)
  11. Nishil Talati, Haojie Ye, Sanketh Vedula, Kuan-Yu Chen, Yuhan Chen, Daniel Liu, Yichao Yuan, David Blaauw, Alex Bronstein, Trevor Mudge, and Ronald Dreslinski “Mint: An Accelerator For Mining Temporal Motifs,” ACM/IEEE International Symposium on Microarchitecture (MICRO), October 2022 ©IEEE (.pdf)
  12. Yufan Yue, Tutu Ajayi, Xueyang Liu, Peiwen Xing, Zihan Wang, David Blaauw, Ron Dreslinski, Hun-Seok Kim, “A Unified Forward Error Correction Accelerator for Multi-Mode Turbo, LDPC, and Polar Decoding,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2022 ©IEEE (.pdf)
  13. Yichen Gu, David Blaauw, Joshua Welch, “Variational Mixtures of ODEs for Inferring Cellular Gene Expression Dynamics,” Thirty-ninth International Conference on Machine Learning (ICML), July 2022 ©IEEE (.pdf)
  14. Gabriele Atzeni, Jongyup Lim, Jiawei Liao, Alessandro Novello, Jungho Lee, Eunseong Moon, Michael Barrow, Joseph Letner, Joseph Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David Blaauw, Taekwang Jang, “A 260×274 µm2 572 nW Neural Recording Micromote Using Near-Infrared Power Transfer and an RF Data Uplink,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 ©IEEE (.pdf)
  15. Kuan-Yu Chen, Chi-Sheng Yang, Yu-Hsiu Sun, Chien-Wei Tseng, Morteza Fayazi, Xin He, Siying Feng, Yufan Yue, Trevor Mudge, Ronald Dreslinski, Hun-Seok Kim, David Blaauw, “A 507 GMACs/J 256-Core Domain Adaptive Systolic-Array-Processor for Wireless Communication and Linear-Algebra Kernels in 12nm FINFET,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 ©IEEE (.pdf)
  16. Qirui Zhang, Hyochan An, Zichen Fan, Zhehong Wang, Ziyun Li, Guanru Wang, Hun-Seok Kim, David Blaauw and Dennis Sylvester, “A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 ©IEEE (.pdf)
  17. Yimai Peng, Gordy Carichner, Yejoong Kim, Li-Yu Chen, Rémy Tribhout, Benoît Piranda, Julien Bourgeois, David Blaauw, Dennis Sylvester, “A 286nW, 103V High Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 ©IEEE (.pdf)
  18. Yimai Peng, Seokhyeon Jeong, Kyojin Choo, Yejoong Kim, Li-Yu Chen, Rohit Rothe, Li Xu, Ilya Gurin, Omid Oliaei, Vadim Tsinker, Stephen Bart, Peter Hartwell, David Blaauw, Dennis Sylvester, “A 184nW, 121µg/√Hz Noise Floor Triaxial MEMS Accelerometer with Integrated CMOS Readout Circuit and Variation-Compensated High Voltage MEMS Biasing,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 Invited Paper to the IEEE Solid-State Circuit Letters (SSCL), Special Issue on VLSI, June 2022 ©IEEE (.pdf)
  19. Zichen Zichen Fan, Hyochan An, Qirui Zhang, Boxun Xu, Li Xu, Chien-wei Tseng, Yimai Peng, Ang Cao, Bowen Liu, Changwoo Lee, Zhehong Wang, Fanghao Liu, Guanru Wang, Shenghao Jiang, Hun-Seok Kim, David Blaauw, Dennis Sylvester, “Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2022 ©IEEE (.pdf)
  20. Daniel W. Bliss, Tutu Ajayi, Ali Akoglu, I. Aliyev, David Blaauw, Leul Belayneh, John Brunhaver, Chaitali Chakrabarti, L. Chang, Kuan-Yu Chen, M.-H. Chen, X. Chen, A. R. Chiriyath, A. Daftardar, Ronald Dreslinski, A. Dutta, Y. Fu, A. Goksoy, X. He, Md. S. Hassan, A. Herschfelt, J. Holtom, Hun Seok Kim, A. N. Krishnakumar, Y. Li, O. Ma1,, J. Mack, S. Mallik, S. K. Mandal, R. Marculescu, B. McCall, Trevor Mudge, U. Y. Ogras, V. Pandey, S. Siddiqui, Y.-H. Sun, A. Venkataramani, Xiangdong Wei, , B. R. Willis, H. Yu, Yufan Yue, “Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2022 ©IEEE (.pdf)
  21. Siying Feng, Xin He, Kuan-Yu Chen, Liu Ke, Xuan Zhang, David Blaauw, Trevor Mudge, Ronald Dreslinski, “MeNDA: A Near-Memory Multi-way Merge Solution for Sparse Transposition and Dataflows,” IEEE International Symposium on Computer Architecture (ISCA), June 2022 ©IEEE (.pdf)
  22. Nishil Talati, Haojie Ye, Yichen Yang, Leul Belayneh, Kuan-Yu Chen, David Blaauw, Trevor Mudge, Ronald Dreslinski, “NDMiner: Accelerating Graph Pattern Mining Using Near Data Processing,” IEEE International Symposium on Computer Architecture (ISCA), June 2022 ©IEEE (.pdf)
  23. Andrea Bejarano-Carbo, Hyochan An, Kyojin Choo, Shiyu Liu, Dennis Sylvester, David Blaauw, Hun Seok Kim, “Millimeter-Scale Ultra-Low-Power Imaging System for Intelligent Edge Monitoring,” TinyML conference, March 2022, Best Paper Award ©IEEE (.pdf)
  24. Li Xu, Maya Lassiter, Xiao Wu, Yejoong Kim, Jungho Lee, Makoto Yasuda, Masaru Kawa-minami, Marc Miskin, David Blaauw, Dennis Sylvester “A 210 × 340 × 50μm Integrated CMOS System for Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2022 ©IEEE (.pdf)
  25. Chien-Wei Tseng, Demba Komma, Kuan-Yu Chen, Rohit Rothe, Zhen Feng, Makoto Yasuda, Masaru Kawaminami, Hun-Seok Kim, David Blaauw, “A Long-Range Narrowband RF Localization System with a Crystal-Less Frequency-Hopping Receiver,” IEEE International Solid-State Circuits Conference (ISSCC), February 2022 ©IEEE (.pdf)
  26. Tim Dunn, Hari Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David Blaauw, Reetuparna Das, Satish Narayanasamy, “SquiggleFilter: An Accelerator for Portable Virus Detection,” IEEE International Symposium on Microarchitecture (MICRO-54), Invited Paper to Special Top Picks from the 2021 Computer Architecture Conferences, Honorable Mention, October 2021 ©IEEE (.pdf)
  27. Rohit Rothe, Minchang Cho, Kyojin Choo, Seokhyeon Jeong, Dennis Sylvester, David Blaauw, “A 192 nW 0.02 Hz High Pass Corner Acoustic Analog Front-End with Automatic Saturation Detection and Recovery,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2021 ©IEEE (.pdf)
  28. Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph Letner, Joseph Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David Blaauw, Dennis Sylvester, Taekwang Jang, “Light Tolerant Neural Recording IC for Near-Infrared-Powered Free Floating Motes,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2021 ©IEEE (.pdf)
  29. Sujin Park, Ji-Hwan Seol, Li Xu, Dennis Sylvester, David Blaauw, “A 43nW 32kHz Pulsed Injection TCXO with 4.2ppm Accuracy Using ∆Σ Modulated Load Capacitance,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2021 ©IEEE (.pdf)
  30. Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor Mudge, Chaitali Chakrabarti, David Blaauw, Ronald Dreslinski, Hun-Seok Kim, “Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2021 ©IEEE (.pdf)
  31. Tim Dunn, Hari Sadasivan, Jack Wadden, Kush Goliya, Kuan-Yu Chen, David Blaauw, Reetuparna Das, Satish Narayanasamy, “SquiggleFilter: An Accelerator for Portable Virus Detection,” International Symposium on Microarchitecture (MICRO-54), October 2021 ©IEEE (.pdf)
  32. Arun Subramaniyan, Yufeng Gu, Timothy Dunn, Somnath Paul, Md Vasimuddin, Sanchit Misra, David Blaauw, Satish Narayanasamy, Reetuparna Das, “GenomicsBench: A Benchmark Suite for Genomics,” International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2021 ©IEEE (.pdf)
  33. Kyojin Choo, Hyochan An, Dennis Sylvester, David Blaauw, “14.1-ENOB 184.9dB-FoM Capacitor-Array-Assisted Cascaded Charge-Injection SAR ADC,” IEEE International Solid-State Circuits Conference (ISSCC), February 2021 ©IEEE (.pdf)
  34. Mingyu Yang, Roger Hsiao, Gordy Carichner, Katherine Ernst, Jaechan Lim, Delbert A. Green II, Inhee Lee, David Blaauw, Hun-Seok Kim, “Migrating Monarch Butterfly Localization Using Mul-ti-Modal Sensor Fusion Neural Networks,” European Signal Processing Conference (EUSIPCO), January 2021 ©IEEE (.pdf)
  35. Ji-Hwan Seol, Kyojin Choo, David Blaauw, Dennis Sylvester, Taekwang Jang, “A 67fsrms Jitter, −130dBc/Hz In-Band Phase Noise, −256-dB FoM Reference Oversampling Digital PLL With Proportional Path Timing Control,” IEEE Asian Solid-State Circuits Conference (A-SSCC), Invited Paper to the IEEE Solid-State Circuits Letters, Special Issue on ASSCC 2020, November 2020 ©IEEE (.pdf)
  36. Daichi Fujiki, Shunhao Wu, Nathan Ozog, Kush Goliya, David Blaauw, Satish Narayanasamy, Reetuparna Das, “SeedEx: A Genome Sequencing Accelerator for Optimal Alignments in Subminimal Space,” ACM/IEEE International Symposium on Microarchitecture (MICRO), October 2020 ©IEEE (.pdf)
  37. Mingyu Yang, Roger Hsiao, Gordy Carichner, Katherine Ernst, Jaechan Lim, Delbert A. Green II, Inhee Lee, David Blaauw, Hun-Seok Kim, “Migrating Monarch Butterfly Localization Using Multi-Modal Sensor Fusion Neural Networks,” European Signal Processing Conference (EUSIPCO), January 2021 ©IEEE (.pdf)
  38. Jeongsup Lee, Yejoong Kim, Minchang Cho, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David Blaauw, Dennis Sylvester, “A μProcessor Layer for mm-Scale Die-Stacked Sensing Platforms Featuring Ultra-Low Power Sleep Mode at 125˚C,” IEEE Asian Solid-State Circuits Conference (ASSCC), November 2020 ©IEEE (.pdf)
  39. Subhankar Pal, Siying Feng, Dong-hyeon Park, Sung Kim, Aporva Amarnath, Chi-Sheng Yang, Xin He, Jonathan Beaumont, Kyle May, Yan Xiong, Kuba Kaszyk, John Magnus Morton, Jiawen  Sun, Michael O’Boyle, Murray Cole, Chaitali Chakrabarti, David Blaauw, Hun-Seok Kim, Trevor Mudge, Ronald Dreslinski, “Transmuter: Bridging the Efficiency Gap using Memory and Dataflow Reconfiguration,” 29th International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2020 ©IEEE (.pdf)
  40. Jongyup Lim, Myungjoon Choi, Bowen Liu, Taewook Kang, Ziyun Li, Zhehong Wang, Yiqun Zhang, Kaiyuan Yang, David Blaauw, Hun-Seok Kim, Dennis Sylvester, “AA-ResNet: Energy Efficient All-Analog ResNet Accelerator,” Midwest Symposium and Circuits and Systems (MWSCAS), August 2020 ©IEEE (.pdf)
  41. Zhen Feng, Li-Xuan Chuo, Yao Shi, Yejoong Kim, HunSeok Kim, David Blaauw, “A mm-Scale Sensor Node with a 2.7 GHz 1.3 mW Transceiver using Full-Duplex Self-Coherent Backscattering Achieving 3.5 m Range,” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), June 2020 ©IEEE (.pdf)
  42. Hyochan An, Siddharth Venkatesan, Sam Schiferl, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Hengfei Zhong, Luyao Gong, David Blaauw, Ronald Dreslinski, Dennis Sylvester, Hun Seok Kim, “A 170μW Image Signal Processor Enabling Hierarchical Image Recognition for Intelligence at the Edge,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2020 ©IEEE (.pdf)
  43. Jingcheng Wang, Hyochan An, Qirui Zhang, Hun Seok Kim, David Blaauw, Dennis Sylvester, “1.03pW/b Ultra-low Leakage Voltage-Stacked SRAM for Intelligent Edge Processors,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits letters, Special Issue on VLSI, June 2020 ©IEEE (.pdf)
  44. Rohit Rothe, Sechang Oh, Kyojin Choo, Seokhyeon Jeong, Minchang Cho, Dennis Sylvester, David Blaauw, “Sample and Average Common-Mode Feedback in a 101 nW Acoustic Amplifier,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2020 ©IEEE (.pdf)
  45. Seokhyeon Jeong, Yejoong Kim, Gyouho Kim, David Blaauw, “A Pressure Sensing System with ±0.75 mmHg (3σ) Inaccuracy for Battery-Powered Low Power IoT applications,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2020 ©IEEE (.pdf)
  46. Xiao Wu, Arun Subramaniyan, Zhehong Wang, Satish Narayanasamy, Reetu Das, David Blaauw, “17.3 GCUPS Pruning-based Pair-Hidden-Markov-Model Accelerator for Next-Generation DNA Sequencing,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Solid-State Circuits Letters, Special Issue on VLSI, June 2020 ©IEEE (.pdf)
  47. Zhehong Wang, Ziyun Li, Li Xu, Qing Dong, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun Seok Kim, David Blaauw, “An All-Weights-on-Chip DNN Accelerator in 22nm ULL Featuring 24×1 Mb eRRAM,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2020 ©IEEE (.pdf)
  48. Anuraag Soorishetty, Jian Zhou, Subhankar Pal, David Blaauw, Hun Seok Kim, Trevor Mudge, Ronald Dreslinski, Chaitali Chakrabarti, “Accelerating Linear Algebra Kernels on a Massively Parallel Reconfigurable Architecture,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2020 ©IEEE (.pdf)
  49. Zhehong Wang, Tianjun Zhang, Daichi Fujiki, Arun Subramaniyan, Xiao Wu, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Reetuparna Das, Satish Narayanasamy, David Blaauw, “A 2.46M reads/s Genome Sequencing Accelerator using a 625 Processing-Element Array,” IEEE Custom Integrated Circuits Conference (CICC), March 2020 ©IEEE (.pdf)
  50. Jongyup Lim, Eunseong Moon, Michael Barrow, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Sechang Oh, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David Blaauw, Cynthia A. Chestek, Jamie Phillips, Taekwang Jang, “A 0.19×0.17mm2 Wireless Neural Recording IC for Motor Prediction with Near-Infrared-Based Power and Data Telemetry,” IEEE International Solid-State Circuits Conference (ISSCC), February 2020 ©IEEE (.pdf)
  51. Li Xu, Taekwang Jang, Jongyup Lim, Kyojin Choo, David Blaauw, Dennis Sylvester, “A 0.51nW 32kHz Crystal Oscillator Achieving 2ppb Allan Deviation Floor Using High-Energy-to-Noise-Ratio Pulse Injection,” IEEE International Solid-State Circuits Conference (ISSCC), February 2020 ©IEEE (.pdf)
  52. Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi Iyer, Dennis Sylvester, David Blaauw, Reetuparna Das, “Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks,” ACM/IEEE International Symposium on Microarchitecture (MICRO), June 2019 ©IEEE (.pdf)
  53. Li-Xuan Chuo, Yejoong Kim, Nikolaos Chiotellis, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Anthony Grbic, David Wentzloff, Hun-Seok Kim, David Blaauw, “A 4×4×4-mm3 Fully Integrated Sensor-to-Sensor Radio using Carrier Frequency Interlocking IF Receiver with -94 dBm Sensitivity,” IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on RFIC, June 2019, Best Paper Award ©IEEE (.pdf)
  54. Najme Ebrahimi, Behzad Yektakhah, Kamal Sarabandi, Hun Seok Kim, David Wentzloff, David Blaauw, “A Novel Physical Layer Security Technique Using Master-Slave Full Duplex Communication,” IEEE MTT-S International Microwave Symposium (IMS), June 2019 (.pdf)
  55. Inhee Lee, David Blaauw, “A 31 pW-to-113 nW Hybrid BJT and CMOS Voltage Reference with 3.6% ±3σ-inaccuracy from 0 oC to 170 oC for Low-Power High-Temperature IoT Systems,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2019 ©IEEE (.pdf)
  56. Inhee Lee, Eunseong Moon, Yejoong Kim, Jamie Phillips, David Blaauw, “A 10mm3 Light-Dose Sensing IoT2 System with 35-to-339nW 10-to-300klx Light-Dose-to-Digital Converter,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2019 ©IEEE (.pdf)
  57. Taewook Kang, Inhee Lee, Sechang Oh, Taekwang Jang, Yejoong Kim, Hyochan Ahn, Gyouho Kim, Se-Un Shin, Seokhyeon Jeong, Dennis Sylvester, David Blaauw, “A 1.7×4.1×2 mm3 Fully Integrated pH Sensor for Implantable Applications using Differential Sensing and Drift-Compensation,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2019 ©IEEE (.pdf)
  58. Subhankar Pal, Dong-hyeon Park, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael Taylor, Trevor Mudge, David Blaauw, Hun-Seok Kim, Ronald Dreslinski, “A 7.3 M Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2019 ©IEEE (.pdf)
  59. Ji-Hwan Seol, Dennis Sylvester, David Blaauw, Taekwang Jang, “A Reference Oversampling Digital Phase-Locked Loop with −240 dB FOM and −80 dBc Reference Spur,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2019 ©IEEE (.pdf)
  60. Jingcheng Wang, Xiaowei Wang, Charles Eckert, Arun Subramaniyan, Reetuparna Das, David Blaauw, Dennis Sylvester, “A Compute SRAM with Bit-Serial Integer/Floating-Point Operations for Programmable In-Memory Vector Acceleration,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2019 ©IEEE (.pdf)
  61. Yimai Peng, David Kyojin Choo, Sechang Oh, Inhee Lee, Taekwang Jang, Yejoong Kim, Jongyup Lim, Dennis Sylvester, David Blaauw, “An Adiabatic Sense and Set Rectifier for Improved Maximum Power Point Tracking in Piezoelectric Harvesting with 541% Energy Extraction Gain,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2019 ©IEEE (.pdf)
  62. Kyojin D. Choo, Li Xu, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David Blaauw, “Energy-Efficient Low-Noise CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC for Motion-Triggered Low-Power IoT Applications,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2019 ©IEEE (.pdf)
  63. Minchang Cho, Sechang Oh, Zhan Shi, Jongyup Lim, Yejoong Kim, Seokhyeon Jeong, Yu Chen, David Blaauw, Hun-Seok Kim, Dennis Sylvester, “A 142nW Voice and Acoustic Activity Detection Chip for mm-Scale Sensor Nodes Using Time-Interleaved Mixer-Based Frequency Scanning,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2019 ©IEEE (.pdf)
  64. Jeongsup Lee, Yiqun Zhang, Qing Dong, Wooteak Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David Blaauw, Dennis Sylvester, “A 6.4pJ/Cycle Self-tuning Cortex-M0 IoT Processor based on Leakage-Ratio Measurement for Energy Optimal Operation across Wide-Range PVT Variation,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2019 ©IEEE (.pdf)
  65. Yao Shi, Xing Chen, Hun-Seok Kim, David Blaauw, David Wentzloff, “A 606μW mm-Scale Bluetooth Low-Energy Transmitter Using Co-Designed 3.5×3.5mm2 Loop Antenna and Transformer-Boost Power Oscillator,” IEEE International Solid-State Circuits Conference (ISSCC), February 2019 ©IEEE (.pdf)
  66. Ziyun Li, Yu Chen, Luyao Gong, Lu Liu, Dennis Sylvester, David Blaauw, Hun-Seok Kim, “An 879GOPS 243mW 80fps VGA Fully Visual CNN-SLAM Processor for Wide-Range Autonomous Exploration,” IEEE International Solid-State Circuits Conference (ISSCC), February 2019 ©IEEE (.pdf)
  67. Mehdi Saligane, Jeongsup Lee, Qing Dong, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, David Blaauw, Dennis Sylvester, “An Adaptive Body-Biasing SoC using in situ Slack Monitoring for Runtime Replica Calibration,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  68. Jongyup Lim, Taekwang Jang, Mehdi Saligane, Makoto Yasuda, Satoru Miyoshi, Masaru Ka-waminami, David Blaauw, Dennis Sylvester, “A 224 pW 260 ppm/°C Gate-Leakage-based Timer for Ultra-Low Power Sensor Nodes with Second-Order Temperature Dependency Cancellation,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  69. Taekwang Jang, Jongyup Lim, Kyojin Choo, Samuel Nason, Jeongsup Lee, Sechang Oh, Seokhyeong Jeong, Cynthia Chestek, Dennis Sylvester, David Blaauw, “A 2.2 NEF Neural-Recording Amplifier Using Discrete-Time Parametric Amplification,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  70. Xiao Wu, Inhee Lee, Qing Dong, Kaiyuan Yang, Dongkwun Kim, Jingcheng Wang, Yimai Peng, Yiqun Zhang, Mehdi Saligane, Makoto Yasuda, Kazuyuki Kumeno, Fumitaka Ohno, Satoru Miyoshi, Masaru Kawaminami, Dennis Sylvester, David Blaauw, “A 0.04mm3 16nW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  71. Inhee Lee, Gyouho Kim, Eunseong Moon, Seokhyeon Jeong, Dongkwun Kim, Jamie Phillips, David Blaauw, “A 179-lux Energy-Autonomous Fully-Encapsulated 17-mm3 Sensor Node with Initial Charge Delay Circuit for Battery Protection,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  72. Kaiyuan Yang, Qing Dong, Zhehong Wang, Yi-Chun Shih, Yu-Der Chih, Jonathan Chang, David Blaauw, Dennis Sylvester, “A 28nm Integrated True Random Number Generator Harvesting Entropy from MRAM,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  73. Supreet Jeloka, Zhehong Wang, Ruochen Xie, Sudhanshu Khanna, Steven Bartling, Dennis Sylvester, David Blaauw, “Energy Efficient Adiabatic FRAM with 0.99 pJ/bit Write for IoT Applications,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2018 ©IEEE (.pdf)
  74. Ziyun Li, Jingcheng Wang, Dennis Sylvester, David Blaauw, Hun-Seok Kim, “A 1920 × 1080 25fps, 2.4TOPS/W Unified Optical Flow and Depth 6D Vision Processor for Energy-Efficient, Low Power Autonomous Navigation,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid States Circuits (JSSC), Special Issue on VLSI, June 2018 ©IEEE (.pdf)
  75. Daichi Fujiki, Arun Subramaniyan, Tianjun Zhang, Yu Zeng, Reetuparna Das, David Blaauw, Satish Narayanasamy, “GenAx: A Genome Sequencing Accelerator,” IEEE International Symposium on Computer Architecture (ISCA), May 2018 ©IEEE (.pdf)
  76. Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi Iyer, Dennis Sylvester, David Blaaauw, Reetuparna Das, “Neural Cache: Bit-Serial In-Cache Acceleration of Deep Neural Networks,” IEEE International Symposium on Computer Architecture (ISCA), Invited Paper to Top Picks Special Issue of IEEE Micro, May 2018 ©IEEE (.pdf)
  77. Hyeongseok Kim, Nikolaos Chiotellis, Elnaz Ansari, Muhammad Faisal, Taekwang Jang, An-thony Grbic, Hun-Seok Kim, David Blaauw, David Wentzloff, “A Receiver/Antenna Co-Design for a 1.5mJ per Fix Fully-Integrated 10x10x6mm3 GPS Logger,” IEEE Custom Integrated Circuits Conference (CICC), April 2018 ©IEEE (.pdf)
  78. Qing Dong, Zhehong Wang, Jongyup Lim, Yiqun Zhang, Yi-Chun Shih, Yu-Der Chih, Jona-than Chang, David Blaauw, Dennis Sylvester, “A 1Mb 28nm STT-MRAM with 2.8ns Read Access Time at 1.2V VDD Using Single-Cap Offset-Cancelled Sense Amplifier and In-situ Self-Write-Termination,” IEEE International Solid-State Circuits Conference (ISSCC), February 2018 ©IEEE (.pdf)
  79. Sechang Oh, Yao Shi, Gyouho Kim, Yejoong Kim, Taewook Kang, Seokhyeon Jeong, Dennis Sylvester, David Blaauw, “A 2.5nJ Duty-Cycled Bridge-to-Digital Converter Integrated in a 13mm3 Pressure-Sensing System,” IEEE International Solid-State Circuits Conference (ISSCC), February 2018 ©IEEE (.pdf)
  80. Subhankar Pal, Jonathan Beaumont, Dong-Hyeon Park, Aporva Amarnath, Siying Feng, Chaitali Chakrabarti, Hun-Seok Kim, David Blaauw, Trevor Mudge, Ronald Dreslinski, “OuterSPACE: An Outer Product based Sparse Matrix Multiplication Accelerator,” IEEE International Symposium on High Performance Computer Architecture (HPCA), February 2018 ©IEEE (.pdf)
  81. Inhee Lee, Dennis Sylvester, David Blaauw, “Subthreshold Voltage Reference With Nwell/Psub Diode Leakage Compensation for Low-Power High-Temperature Systems,” IEEE Asian Solid-State Circuits Conference (A-SSCC), November 2017 ©IEEE (.pdf)
  82. Li-Xuan Chuo, Zhihong Luo, Dennis Sylvester, David Blaauw, Hun-Seok Kim, “RF-Echo: A Non-Line-of-Sight Indoor Localization System Using a Low-Power Active RF Reflector ASIC Tag,” International Conference eon Mobile Computing and Networking (MobiCom), October 2017 ©IEEE (.pdf)
  83. Qing Dong, Inhee Lee, Kaiyuan Yang, David Blaauw, Dennis Sylvester, “A 1.02nW PMOS-Only, Trim-Free Current Reference with 282ppm/°C from -40°C to 120°C and 1.6% within-Wafer Inaccuracy,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2017 ©IEEE (.pdf)
  84. Yu Zeng, Taekwang Jang, Qing Dong, Mehdi Saligane, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Taiji Ema, Makoto Yasunda, Kazuyuki Kumeno, Dennis Sylvester, David Blaauw, “A 1.7nW PLL-Assisted Current Injected 32KHz Crystal Oscillator for IoT,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  85. Supreet Jeloka, Jeongsup Lee, Ziyun Li, Jinal Shah, Qing Dong, Kaiyuan Yang, Dennis Sylvester, David Blaauw, “An ultra-wide program, 122pJ/bit flash memory using charge recycling,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  86. Supreet Jeloka, Kaiyuan Yang, Michael Orshansky, Dennis Sylvester, David Blaauw, “A sequence dependent challenge-response PUF using 28nm SRAM 6T bit cell,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  87. Junwon Jeong, Seokhyeon Jeong, Chulwoo Kim, Dennis Sylvester, David Blaauw, “A 42nJ/conversion On-Demand State-of-Charge Indicator for Miniature IoT Li-ion Batteries,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  88. Qing Dong, Supreet Jeloka, Mehdi Saligane, Yejoong Kim, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, David Blaauw, Dennis Sylvester, “A 0.3V VDDmin 4+2T SRAM for Searching and In-Memory Computing Using 55nm DDC Technology,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid States Circuits (JSSC), Special Issue on VLSI, June 2017 ©IEEE (.pdf)
  89. Yiqun Zhang, Li Xu, Jingcheng Wang, Kaiyuan Yang, Qing Dong, Supreet Jeloka, David Blaauw, Dennis Sylvester, “Recryptor: A Reconfigurable In-Memory Cryptographic Cortex-M0 Processor for IoT,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid States Circuits(JSSC), Special Issue on VLSI, June 2017 ©IEEE (.pdf)
  90. Sechang Oh, Taekwang Jang, Kyojin D. Choo, David Blaauw, Dennis Sylvester, “A 4.7µW Switched-Bias MEMS Microphone Preamplifier for Ultra-Low-Power Voice Interfaces,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  91. Minchang Cho, Sechang Oh, Seokhyeon Jeong, Yiqun Zhang, Inhee Lee, Yejoong Kim, Li-Xuan Chuo, Dongkwun Kim, Qing Dong, Yen-Po Chen, Martin Lim, Mike Daneman, David Blaauw, Dennis Sylvester, Hun-Seok Kim, “A 6×5×4mm3 General Purpose Audio Sensor Node with a 4.7μW Audio Processing IC ,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2017 ©IEEE (.pdf)
  92. Qing Dong, Kaiyuan Yang, Laura Fick, David Blaauw, Dennis Sylvester, “Rectified-linear and Recurrent Neural Networks Built with Spin Devices,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2017 ©IEEE (pdf.)
  93. Laura Fick, Skylar Skrzyniarz, Malav Parikh, David Fick, David Blaauw, Dennis Sylvester, “Analog In-Memory Subthreshold Deep Neural Network Accelerator,” IEEE Custom Integrated Circuits Conference (CICC), May 2017 ©IEEE (.pdf)
  94. Seokhyeon Jeong, Yu Chen, Julius Tsai, Taekwang Jang, David Blaauw, Hun-Seok Kim, Dennis Sylvester , “Always-On 12nW Acoustic Sensing and Object Recognition Microsystem for Unattended Ground Sensor Nodes,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2017 ©IEEE (.pdf)
  95. Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin Kempke, Shijia Yang, Zhengya Zhang, Ronald Dreslinski, Dennis Sylvester, David Blaauw, Hun Seok Kim, “A 1920×1080 30fps 2.3TOPS/W Stereo-Depth Processor for Robust Autonomous Navigation,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2017 ©IEEE (.pdf)
  96. Kaiyuan Yang, Qing Dong, Wanyeong Jung, Yiqun Zhang, Myungjoon Choi, David Blaauw, Dennis Sylvester, “A 0.6nJ -0.22/+0.19°C Inaccuracy Temperature Sensor Using Exponential Subthreshold Oscillation Dependence,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  97. Kaiyuan Yang, Qing Dong, David Blaauw, Dennis Sylvester, “A 553F2 2-Transistor Amplifier-Based Physically Unclonable Function (PUF) with 1.67% Native Instability,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  98. Wooteak Lim, Taekwang Jang, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David Blaauw, “A 380pW Dual Mode Optical Wake-up Receiver with Ambient Noise Cancellation,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  99. Qing Dong, Yejoong Kim, Inhee Lee, Myungjoon Choi, Ziyun Li, Jingcheng Wang, Kaiyuan Yang, Yen-Po Chen, Junjie Dong, Minchang Cho, Gyouho Kim, Wei-Keng Chang, Yun-ShengChen, Yu-Der Chih, David Blaauw, Dennis Sylvester, “A 1Mb Embedded NOR Flash Memory with 39μW Program Power for mm-Scale High-Temperature Sensor Nodes,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  100. Xiao Wu, Kyojin Choo, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David Blaauw, “A Fully Integrated Counter Flow Energy Reservoir for 70% Efficient Peak-Power Delivery in Ultra-Low-Power Systems,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2017 (.pdf)
  101. Taekwang Jang, Soekhyeon Jeong, Dongsuk Jeon, Kyojin Choo, Dennis Sylvester, David Blaauw, “A 2.5ps 0.8-to-3.2GHz Bang-Bang Phase- and Frequency-Detector-Based All-Digital PLL with Noise Self-Adjustment,” EEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2017 ©IEEE (.pdf)
  102. Li-Xuan Chuo, Yao Shi, Zhihong Luo, Nikolaos Chiotellis, Zhiyoong Foo, Gyouho Kim, Yejoong Kim, Anthony Grbic, David Wentzloff, Hun-Seok Kim, David Blaauw, “A 915MHz Asymmetric Radio Using Q-Enhanced Amplifier for a Fully Integrated 3×3mm3 Wireless Sensor Node with 20m Non-Line-of-Sight Communication,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  103. Suyoung Bang, Jingcheng Wang, Ziyun Li, Cao Gao, Yejoong Kim, Qing Dong, Yen-Po Chen, Laura Fick, Xun Sun, Ron Dreslinski, Trevor Mudge, Hun Seok Kim, David Blaauw, Dennis Sylvester, “A 288μW Programmable Deep-Learning Processor with 270KB On-Chip Weight Storage Using Non-Uniform Memory Hierarchy for Mobile Intelligence,” IEEE International Solid-State Circuits Conference (ISSCC), February 2017 ©IEEE (.pdf)
  104. Shaizeen Aga, Supreet Jeloka, Arun Subramaniyan, Satish Narayanasamy, David Blaauw, Reetuparna Das, “Compute Caches for Efficient Very Large Vector Processing,” IEEE International Symposium on High Performance Computer Architecture (HPCA), February 2017 ©IEEE (.pdf)
  105. Jiang Xiang, Ziyun Li, David Blaauw, Hun Seok Kim, Chaitali Chakrabarti, “Low Complexity Optical Flow Using Neighbor –Guided Semi-Global Matching,” IEEE International Conference on Image Processing (ICIP), September 2016 ©IEEE (.pdf)
  106. Minseob Shim, Seokhyeon Jeong, Paul Myers, Suyoung Bang, Chulwoo Kim, Dennis Sylvester, David Blaauw, Wanyeong Jung, “An Oscillator Collapse-Based Comparator with Application in a 74.1dB SNDR, 20KS/s 15b SAR ADC,” IEEE Symposium on VLSI Circuits (VLSI-Symp), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on VLSI, June 2016 ©IEEE (.pdf)
  107. Qing Dong, Yejoong Kim, Myungjoon Choi, Ziyun Li, Jingcheng Wang, Yen-Po Chen, In-hee Lee, Minchang Cho, Yun-Sheng Chen, David Blaauw, Dennis Sylvester, and Yu-Der Chih, “A 114-pW PMOS-Only, Trim-Free Voltage Reference with 0.26% within-Wafer Inac-curacy for nW Systems,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2016 ©IEEE (.pdf)
  108. Sechang Oh, Ngoc Le Ba, Suyoung Bang, Junwon Jeong, David Blaauw, Tony T. Kim, Dennis Sylvester, “A 260µW Infrared Gesture Recognition System-on-Chip for Smart Devices,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2016 ©IEEE (.pdf)
  109. Wootaek Lim, Taekwang Jang, Inhee Lee, Hun-Seok Kim, Dennis Sylvester, David Blaauw, “A 380pW Dual Mode Optical Wake-up Receiver with Ambient Noise Cancellation,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2016 ©IEEE (.pdf)
  110. Yiqun Zhang, Kaiyuan Yang, Mehdi Saligane, David Blaauw, Dennis Sylvester, “A Compact 446 Gbps/W AES accelerator for Mobile SoC and IoT in 40nm,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2016 (.pdf)
  111. Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang, Inhee Lee, Dennis Sylvester, David Blaauw, “A 66pW Discontinuous Switch-Capacitor Energy Harvester for Self-Sustaining Sensor Application,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2016 ©IEEE (.pdf)
  112. Nathaniel Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ronald Dreslinski, Trevor Mudge, Dennis Sylvester, David Blaauw, “Near-Threshold Computing in FinFET Technologies: Opportunities for Improved Voltage Scalability,” ACM/IEEE Design Automation Conference (DAC), June 2016 ©IEEE (.pdf)
  113. Yajing Chen, Shengshuo Lu, Hun-Seok Kim, David Blaauw, Ronald Dreslinski Jr, Trevor Mudge, “A Low Power Software-Defined-Radio Baseband Processor for the Internet of Things,” IEEE International Symposium on High Performance Computer Architecture (HPCA), March 2016 ©IEEE (.pdf)
  114. Mahmood Khayatzadeh, Mehdi Saligane, Jingchen Wang, Massimo Alioto, David Blaauw, Dennis Sylvester, “A Reconfigurable Dual-Port Memory with Error Detection and Correction in 28nm FDSOI,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  115. Wanyeong Jung, Dennis Sylvester, David Blaauw, “A Rational-Conversion-Ratio Switched-Capacitor DCDC Converter Using Negative-Output Feedback,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 (.pdf)
  116. Wanyeong Jung, Junhua Gu, Paul D. Myers, Minseob Shim, Seokhyeon Jeong, Kaiyuan Yang, Myungjoon Choi, ZhiYoong Foo, Suyoung Bang, Sechang Oh, Dennis Sylvester, David Blaauw,”A 60%-Efficiency 20nW-500μW Tri-Output Fully Integrated Power Management Unit with Environmental Adaptation and Load-Proportional Biasing for IoT Systems,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  117. Myungjoon Choi, Taekwang Jang, Junwon Jeong, Seokhyeon Jeong, David Blaauw, Dennis Sylvester “A Current-mode Wireless Power Receiver with Optimal Resonant Cycle Tracking for Implantable Systems” IEEE International Solid-State Circuits Conference (ISSCC), February 2016, ©IEEE (.pdf)
  118. Taekwang Jang, Myungjoon Choi, Seokhyeon Jeong, Suyoung Bang, Dennis Sylvester, David Blaauw, “A 4.7nW 13ppm/°C Self-Biased Wakeup Timer Using a Switched-Resistor Scheme,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  119. Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang, Mehdi Saligane, Nathaniel Pinckney, Massimo Alioto, David Blaauw, Dennis Sylvester, “iRazor: 3-Transistor Current-Based Error Detection and Correction in an ARM Cortex-R4 Processor,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  120. Inhee Lee, Wootaek Lim, Alan Teran, Jamie Phillips, Dennis Sylvester, David Blaauw, “A >78%-Effective Light Harvester over 100-to-100klux with Reconfigurable PV-Cell Network and MPPT Circuit,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  121. Skylar Skrzyniarz, Laura Fick, Jinal Shah, Yejoong Kim, Dennis Sylvester, David Blaauw, “A 36.8 2b-TOPS/W Self-Calibrating GPS Accelerator Implemented Using Analog Calculation in 65nm LP CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016 ©IEEE (.pdf)
  122. Yao Shi, Myungjoon Choi, Ziyun Li, Gyouho Kim, Zhiyoong Foo, Hun-Seok Kim, David Wentzloff, David Blaauw, “A 10mm3 Syringe-Implantable Near-Field Radio System on Glass Substrate,” IEEE International Solid-State Circuits Conference (ISSCC), February 2016, ©IEEE (.pdf)
  123. Jingcheng Wang, Nathaniel Pinckney, David Blaauw, and Dennis Sylvester, “Reconfigurable Self-Timed Regenerators for Wide-Range Voltage Scaled Interconnect,” IEEE Asian Solid-State Circuits Conference (A-SSCC), November 2015 ©IEEE (.pdf)
  124. Inhee Lee, Wanyeong Jung, Hyunsoo Ha, Seokhyeong Jeong, Yejoong Kim, Zhiyoong Foo, Jae-Yoon Sim, Dennis Sylvester, David Blaauw, “An Ultra-Low-Power Biomedical Chip for Injectable Pressure Monitor,” Biomedical Circuits & Systems Conference (BIOCAS), October 2015 ©IEEE (.pdf)
  125. Mohit Shah, Jingcheng Wang, David Blaauw, Dennis Sylvester, Hun-Seok Kim, Chaitali Chakrabarti, “A Fixed-Point Neural Network for Keyword Detection on Resource Constrained Hardward,” IEEE International Workshop on Signal Processing Systems (SIPS), October 2015 ©IEEE (.pdf)
  126. Mehdi Saligane, Mahmood Khayatzadeh, Yiqun Zhang, Seokhyeon Jeong, David Blaauw, Dennis Sylvester “All-digital SoC Thermal Sensor using On-chip High Order Temperature Curvature Correction,” IEEE Custom Integrated Circuits Conference (CICC) September 2015 (.pdf)
  127. Myungjoon Choi, Junhua Gu, David Blaauw, Dennis Sylvester, “Wide Input Range 1.7µW 1.2kS/s Resistive Sensor Interface Circuit with 1 cycle/sample Logarithmic Sub-Ranging,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  128. Dongsuk Jeon, Qing Dong, Yejoong Kim, Xiaolong Wang, Shuai Chen, Hao Yu, David Blaauw, Dennis Sylvester, “A 23mW Face Recognition Accelerator in 40nm CMOS with Mostly-Read 5T Memory,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  129. Suyoung Bang, Jae-sun Seo, Inhee Lee, Seokhyeon Jeong, Nathaniel Pinckney, David Blaauw, Dennis Sylvester, and Leland Chang, “A Fully-Integrated 40-Phase Flying-Capacitance-Dithered Switched-Capacitor Voltage Regulator with 6mV Output Ripple,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  130. Supreet Jeloka, Naveen Akesh, Dennis Sylvester, David Blaauw, “A Configurable TCAM / BCAM / SRAM using 28nm push-rule 6T bit cell,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  131. Hyeongseok Kim, Gyouho Kim, Yoonmyung Lee, Zhiyoong Foo, Dennis Sylvester, David Blaauw, David Wentzloff, “A 10.6mm3 Fully-Integrated, Wireless Sensor Node with 8GHz UWB Transmitter,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  132. Seokhyeon Jeong, Wanyeong Jung, Dongsuk Jeon, Omer Berenfeld, Hakan Oral, Grant Kruger, David Blaauw, Dennis Sylvester, “A 120nW 8b Sub-ranging SAR ADC with Signal-Dependent Charge Recycling for Biomedical Applications,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  133. Myungjoon Choi, Suyoung Bang, Tae-Kwang Jang, David Blaauw, Dennis Sylvester, “A 99nW 70.4kHz Resistive Frequency Locking On-Chip Oscillator with 27.4ppm/ºC Temperature Stability,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  134. Mahmood Khayatzadeh, Fabio Frustaci, David Blaauw, Dennis Sylvester, Massimo Alioto, “A Reconfigurable Sense Amplifier with 3X Offset Reduction in 28nm FDSOI CMOS,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  135. Kaiyuan Yang, David Blaauw, Dennis Sylvester, “A Robust -40 to 120°C All-Digital True Random Number Generator in 40nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2015 ©IEEE (.pdf)
  136. Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, Zhi Yoong Foo, Ben Kempke, Gyouho Kim, Ronald Dreslinski Jr, David Blaauw, and Prabal Dutta, “MBus: An Ultra-Low Power Inter-connect Bus for Next Generation Nanopower Systems,” IEEE International Symposium on Computer Architecture (ISCA), June 2015 ©IEEE (.pdf)
  137. Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David Blaauw, Dennis Sylvester, “Racetrack Converter: A Low Power and Compact Data Converter Using Racetrack Spintronic Devices,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2015 ©IEEE (.pdf)
  138. Wootaek Lim, Inhee Lee, Dennis Sylvester, David Blaauw, “Battery-Less, sub-nW Cortex M0+ Processor with Dynamic Leakage-Suppression Logic,” IEEE International Solid-State Circuits Conference (ISSCC), February 2015 ©IEEE (.pdf)
  139. Kaiyuan Yang, David Blaauw, Dennis Sylvester, “A Physical Unclonable Function with BER < 10-8 for Robust Chip Authentication Using Oscillator Collapse in 40nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2015 ©IEEE (.pdf)
  140. Wanyeong Jung, Seokhyeon Jeong, Dennis Sylvester, David Blaauw, “A 46.9fJ/c.s, Fully-Digital Capacitance-to-Digital Converter using Iterative Delay-Chain Discharge.” IEEE International Solid-State Circuits Conference (ISSCC), February 2015 ©IEEE (.pdf)
  141. Supreet Jeloka, Reetuparna Das, Ronald G. Dreslinski, Trevor Mudge, David Blaauw, “Hi-Rise: A High-Radix Switch for 3D Integration with Single-cycle Arbitration,” ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2014 ©IEEE (.pdf)
  142. Mohammad Hassan Ghaed, Skylar Skrzyniarz, David Blaauw, Dennis Sylvester, “A 1.6nJ/bit, 19.9µA Peak Current Fully Integrated 2.5mm2 Inductive Transceiver for Volume-Constraned Microsystems,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 ©IEEE (.pdf)
  143. Ye-Sheng Kuo, Pat Pannuto, Gyouho Kim, Zhiyoong Foo, Inhee Lee, Ben Kempke, Prabal Dutta, David Blaauw, and Yoonmyung Lee, “MBus: A 17.5 pj/bit/chip Portable Interconnect Bus for Millimeter-Scale Sensor Systems with 8 nW Standby Power,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 ©IEEE (.pdf)
  144. Seokhyeon Jeong, Inhee Lee, David Blaauw, Dennis Sylvester, “A 5.8nW, 45ppm/°C On-Chip CMOS Wake-up Timer Using a Constant Charge Subtraction Scheme,” IEEE Custom In-tegrated Circuits Conference (CICC), September 2014 (.pdf)
  145. David Fick, Gyouho Kim, Allan Wang, David Blaauw, and Dennis Sylvester, “ Mixed-Signal Stochastic Computation Demonstrated in an Image Sensor with Integrated 2D Edge Detection and Noise Filtering,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 ©IEEE (.pdf)
  146. Sechang Oh, Yoonmyung Lee, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim, David Blaauw, Dennis Sylvester, “Dual-Slope Capacitance to Digital Converter Integrated in an Implantable Pressure Sensing System,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2014 ©IEEE (.pdf)
  147. Myungjoon Choi, Inhee Lee, Tae-Kwang Jang, David Blaauw, Dennis Sylvester “A 23pW, 780ppm/°C Resistor-less Current Reference Using Subthreshold MOSFETs,” (ESSCIRC) September 2014 ©IEEE (.pdf)
  148. Inhab Nahlus, Eric P. Kim, Naresh R. Shanbhag, and David Blaauw, “Energy-Efficient Dot Product Computation using a Switched Analog Circuit Architecture,” ACM/IEEE International Symposium on Low-Power Electronics and (ISLPED), August 2014 ©IEEE (.pdf)
  149. Gyouho Kim, Adriane Wolfe, Richard Bell, Suyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Lewis Hsu, Jeffery Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David Blaauw, “Chip-On-Mud: Ultra-Low Power ARM-Based Oceanic Sensing System Powered by Small-Scale Benthic Microbial Fuel Cells,” IEEE International Symposium on Circuits and Systems (ISCAS), June 2014 ©IEEE (.pdf)
  150. Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Low Power Battery Supervisory Circuit with Adaptive Battery Health Monitor,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2014 ©IEEE (.pdf)
  151. Sechang Oh, Wanyeong Jung, Kaiyuan Yang, David Blaauw, Dennis Sylvester, “15.4b Incremental Sigma-Delta Capacitance-to-Digital Converter with Zoom-in 9b Asynchronous SAR,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2014 ©IEEE (.pdf)
  152. Yen-Po Chen, David Blaauw and Dennis Sylvester, “A 266nW Multi-Chopper Amplifier with 1.38 Noise Efficiency Factor for Neural Signal Recording,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2014 ©IEEE (.pdf)
  153. Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, Reetuparna Das, Ronald Dreslinski, David Blaauw, Trevor Mudge, “Quality-of-Service for a High-Radix Switch,” ACM/IEEE Design Automation Conference (DAC), June 2014 ©IEEE (.pdf)
  154. Supriya Rao, Reetuparna Das, Supreet Jeloka, David Blaauw, Ronald G. Dreslinski, Trevor Mudge, “VIX: Virtual Input Crossbars for Efficent Switch Allocation,” ACM/IEEE Design Automation Conference (DAC), June 2014 ©IEEE (.pdf)
  155. Gyouho Kim, Yoonmyung Lee, Zhiyoong Foo, Pat Pannuto, Ye-Sheng Kuo, Ben Kempke, Mohammad Ghaed, Suyoung Bang, Inhee Lee, Yejoong Kim, Seokhyeon Jeong, Prabal Dutta, Dennis Sylvester and David Blaauw, “A Millimeter-Scale Wireless Imaging System with Continuous Motion Detection and Energy Harvesting,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2014 ©IEEE (.pdf)
  156. Fabio Frustaci, Mahmood Khayatzaeh, David Blaauw, Dennis Sylvester, Massimo Alioto, “A 32kb SRAM for Error-Free and Error-Tolerant Applications with Dynamic Energy-Quality Management in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2014 ©IEEE (.pdf)
  157. Dongsuk Jeon, Yen-Po Chen, Yoonmyung Lee, Yejoong Kim, Zhiyoong Foo, Grant Kruger, Hakan Oral, Omer Berenfeld, Zhengya Zhang, David Blaauw, Dennis Sylvester, “An Implantable 64nW ECG-Monitoring Mixed-Signal SoC for Arrhythmia Diagnosis,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2014 ©IEEE (.pdf)
  158. Kaiyuan Yang, David Fick, Michael B. Henry, Yoonmyung Lee, David Blaauw, Dennis Sylvester, “A 23Mb/s 23pJ/b Fully Synthesized True-Random-Number Generator in 28nm and 65 nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2014 ©IEEE (.pdf)
  159. Wanyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “A 3nW Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor DC-DC Converter,” IEEE International Solid-State Circuits Conference (ISSCC), Invited Paper to the IEEE Journal of Solid-State Circuits (JSSC), Special Issue on ISSCC, February 2014 ©IEEE (.pdf)
  160. Hyunsoo Ha, Dennis, Sylvester, David Blaauw, Jae-Yoon Sim, “A 160nW 63.9fJ/conversion-step Capacitance-to-Digital Converter for Ultra-Low Power Wireless Sensor Nodes,” IEEE International Solid-State Circuits Conference (ISSCC), February 2014 ©IEEE Edward A. Lee, Jan Rabaey, David Blaauw, Prabal Dutta, Kevin Fu, Carlos Guestrin, Bjorn Hartmann, Roozbeh Jafari,Doug Jones, John Kubiatowicz, Vijay Kumar, Rahul Mangharam,Richard M. Murray, George Pappas, Kris Pister, Anthony Rowe,Alberto Sangiovanni-Vincentelli, Sanjit A. Seshia,Tajana Simunic Rosing, Ben Taskar, John Wawrzynek, David Wessel, “The Swarm at the Edge of the Cloud,” IEEE Design and Test, April 2014 ©IEEE (.pdf)
  161. Yejoong Kim, Wanyeong Jung, Inhee Lee, Qing Dong, Michael Henry, Dennis Sylvester, David Blaauw, “A Static Contention-Free Single-Phase-Clocked 24T Flip-Flop in 45nm for Low-Power Applications,” IEEE International Solid-State Circuits Conference (ISSCC), February 2014 ©IEEE (.pdf)
  162. Bharan Giridhar, Nathan Pinckney, Dennis Sylvester, David Blaauw, “A Reconfigurable Sense Amplifier with Auto-Zero Calibration and Pre-Amplification in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2014 ©IEEE (.pdf)
  163. Laura Freyman, David Fick, David Blaauw, Dennis Sylvester, Massimo Alioto, “A 346 μm² Reference –Free Sensor Interface for Highly Constrained Microsystems in 28nm CMOS,” IEEE Asian Solid-State Circuits Conference (A-SSCC), November 2013 ©IEEE (.pdf)
  164. Bharan Giridhar, Michael Cieslak, Deepankar Duggal, Ronald Dreslinski, Robert Patti, Betina Hold, Chaitali Chakrabarti, Trevor Mudge, David Blaauw, “Exploring DRAM Organizations for Energy-Efficient and Resilient Exascale Memories,” Supercomputing Conference (SC13), November 2013 ©IEEE (.pdf)
  165. Seokheon Jeong, Jae-yoon Sim, David Blaauw, Dennis Sylvester, “65nW CMOS Temperature Sensor for Ultra-Low Power Microsystems,” IEEE Custom Integrated Circuits Conference (CICC), September 2013 ©IEEE
  166. Bharan Giridhar, Matthew Fojtik, David Fick, Dennis Sylvester, David Blaauw, “Pulse Amplification Based Dynamic Synchronizers with Metastability Measurement using Capacitance Derating,” IEEE Custom Integrated Circuits Conference (CICC), September 2013 ©IEEE
  167. Yen-Po Chen, Yoonmyung Lee, Jae-Yoon Sim, Massimo Alioto, David Blaauw, “45pW ESD Clamp Circuit for Ultra-Low Power Applications,” IEEE Custom Integrated Circuits Confer-ence (CICC), September 2013 ©IEEE
  168. Inhee Lee, Suyoung Bang, Dongmin Yoon, Myungjoon Choi, Seokhyeon Jeong, Dennis Sylvester, David Blaauw, “A Ripple Voltage Sensing MPPT Circuit for Ultra-Low Power Microsystems,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2013 ©IEEE (.pdf)
  169. Nathaniel Pinckney, Matthew Fojtik, Bharan Giridhar, Dennis Sylvester, and David Blaauw, “Shortstop: An On-Chip Fast Supply Boosting Technique,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2013 ©IEEE (.pdf)
  170. Suyong Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Gyouho Kim, DavidBlaauw, Dennis Sylvester, “A Fully Integrated Switched-Capacitor Based PMU with Adaptive Energy Harvesting Technique for Ultra- Low Power Sensing Applications,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2013 ©IEEE (.pdf)
  171. Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, Dennis Sylvester, “A Low Power VGA Full-Frame Feature Extraction Processor,” International Conference on Acoustics, Speech, and Signal Processing (ICASSP), May 2013 ©IEEE (.pdf)
  172. Nilmini Abeyratne, Reetuparna Das, Qingkun Li, Korey Sewell, Bharan Giridhar, Ronald Dreslinski, David Blaauw, Trevor Mudge, “Scaling Towards Kilo-Core Processors with Asymmetric High Radix Topologies,” IEEE International Symposium on High Performance Computer Architecture (HPCA-19), February 2013 ©IEEE (.pdf)
  173. Suyoung Bang, Yoonmyung Lee, Inhee Lee, Yejoong Kim, Gyouho Kim, David Blaauw, Dennis Sylvester, “A Fully Integrated Switched-Capacitor Based PMU with Adaptive Energy Harvesting Technique for Ultra-Low Power Sensing Applications,” IEEE International Solid-State Circuits Conference (ISSCC), February 2013 ©IEEE (.pdf)
  174. Dong-Woo Jee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim, “A 0.45V, 423 nW, 3.2 MHz Multiplying DLL with Leakage-Based Oscillator for Ultra-Low-Power Sensor Platforms,” IEEE International Solid-State Circuits Conference (ISSCC), February 2013 ©IEEE (.pdf)
  175. Seon-Kyoo Lee, Seung-Hun Lee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim, “A 95fJ/b Current-Mode Transceiver for 10mm On-Chip Interconnect,” IEEE International Solid-State Circuits Conference (ISSCC), February 2013 ©IEEE (.pdf)
  176. Gyouho Kim, Mahmood Barangi, Zhiyoong Foo, Nathaniel Pinckney, Suyoung Bang, David Blaauw, Dennis Sylvester, “A 467nW CMOS Visual Motion Sensor with Temporal Averaging and Pixel Aggregation,” IEEE International Solid-State Circuits Conference (ISSCC), February 2013 ©IEEE (.pdf)
  177. Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, Dennis Sylvester, “A 470m2.7mW Feature Extraction Accelerator for Micro Autonomous Vehicle Navigation in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2013 ©IEEE (.pdf)
  178. Ronald Dreslinski, Thomas Manville, Korey Sewell, Reetuparna Das, Nathaniel Pinckney, Sudhir Satpathy, David Blaauw, Dennis Sylvester, Trevor Mudge, “XPoint Cache: Scaling Existing Bus Based Coherence Protocols for 2D and 3D Many-Core Systems, “The 21st International Conference on Parallel Architectures and Compilation Techniques (PACT) September 2012 ©IEEE (.pdf)
  179. Suyoung Bang, David Blaauw, Dennis Sylvester, Massimo Alioto, “Reconfigurable Sleep Transistor for GIDL Reduction in Ultra-Low Standby Power Systems,” IEEE Custom Integrated Circuits Conference (CICC), September 2012 ©IEEE (.pdf)
  180. Zhiyoong Foo, David Devescery, Mohammad Ghaed, Inhee Lee, Abishek Madhavan, Youn Sung Park, Aswin Rao, Zach Renner, Nathan Roberts, Aaron Schulman, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, Thomas Schmid, Prabal Dutta, Peter Chen, David Blaauw, “A Low-cost Audio Computer for Information Dissemination among Illiterate People Groups,” IEEE Custom Integrated Circuits Conference (CICC), September 2012 ©IEEE (.pdf)
  181. Gyouho Kim, Yoonmyung Lee, Suyoung Bang, Inhee Lee, Yejonng Kim, Dennis Sylvester, David Blaauw, “A 695 pW Standby Power Optical Wake-up Receiver for Wireless Sensor Nodes,” IEEE Custom Integrated Circuits Conference (CICC), September 2012 ©IEEE (.pdf)
  182. Yejoong Kim, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “SLC: Split-Control Level Converter for Dense and Stable Wide-Range Voltage Conversion,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2012 ©IEEE (.pdf)
  183. Ronald Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 64-Core, 3D Stacked, Near-Threshold System”, HotChips-24, August 2012 ©IEEE (.pdf)
  184. Ronald Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoff Blake, Michael Cieslak, Reetuparna Das, Thomas Wenisch, Dennis Sylvester, David Blaauw, Trevor Mudge, “Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems,” HotChips-24, August 2012 ©IEEE (.pdf)
  185. Daeyeon Kim, Vikas Chandra, Robert Aitken, Dennis Sylvester, David Blaauw, “An Adaptive Write Word-Line Pulse Width and Voltage Modulation Architecture for Bit-Interleaved 8T SRAMS,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 2012 ©IEEE (.pdf)
  186. Sudhir Satpathy, Dennis Sylvester, David Blaauw, “A Standard Cell Compatible Bidirectional Repeater with Thyristor Assist,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2012 ©IEEE (.pdf)
  187. Yen-Po Chen, Matt Fijtik, David Blaauw, Dennis Sylvester, “A 2.98nW Bandgap Voltage Reference Using a Self-Tuning Low Leakage Sample and Hold,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2012
  188. Youn Sung Park, David Blaauw, Dennis Sylvester, Zhengya Zhano, “A 1.6mm2 38-mW 1.5-Gb/s LDPC Decoder Enabled by Refresh-Free Embedded DRAM,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2012 ©IEEE (.pdf)
  189. Inhee Lee, Suyoung Bang, Yoonmyung Lee, Yejoong Kim, Gyouho Kim, Dennis Sylvester, David Blaauw, “A 695pW Battery Voltage Supervisory Circuit for Miniature Sensor Nodes,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2012 ©IEEE (.pdf)
  190. Dongsuk Jeon, Mingoo Seok, Zhengya Zhang, David Blaauw, Dennis Sylvester, “A design methodology for voltage overscaled ultra-low power systems,” ACM/IEEE Design Automation Conference (DAC), June 2012
  191. Sudhir Satpathy, Reetuparna Das, Ronald Dreslinski, Trevor Mudge, Dennis Sylvester, David Blaauw, “High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service,” ACM/IEEE Design Automation Conference (DAC), June 2012 ©IEEE (.pdf)
  192. Sangwon Seo, Ronald Dreslinski, Mark Woh, Yongjun Park, Scott Mahlke, David Blaauw, Chaitali Chakrabarti, Trevor Mudge, “Process Variation in Near-Threshold Wide SIMD Architectures,” ACM/IEEE Design Automation Conference (DAC), June 2012 ©IEEE (.pdf)
  193. Sudhir Satpathy, Korey Sewell, Thomas Manville, Yen-Po Chen, Ronald Dreslinski, Dennis Sylvester, Trevor Mudge, David Blaauw,”A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least recently granted priority and quality of service arbitration in 45nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2012 ©IEEE (.pdf)
  194. Yoonmyung Lee, Gyouho Kim, Suyoung Bang, Yejoong Kim, Inhee Lee, Prababl Dutta, Dennis Sylvester, David Blaauw, “A Modular 1mm3 Die-Stacked Sensing Platform with Optical Communication and Multi-Modal Energy Harvesting,” IEEE International Solid-State Circuits Conference (ISSCC), February 2012 ©IEEE (.pdf)
  195. Dongmin Yoon, Dennis Sylvester, David Blaauw, “A 5.58nW 32.768kHz DLL-Assisted XO for Real Time Clocks in Wireless Sensing Applications,” IEEE International Solid-State Circuits Conference (ISSCC), February 2012 ©IEEE (.pdf)
  196. Mathew Fojtik, David Fick, Yejoong Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester, “Bubble Razor: An Architecture-Independent Approach to Timing-Error Detection and Correction,” IEEE International Solid-State Circuits Conference (ISSCC), February 2012 ©IEEE (.pdf)
  197. David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Mathew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 3930 DMIPS/W Configurable Near-Threshold 3D Stacked System with 64 ARM Cortex-M3 Cores,” IEEE International Solid-State Circuits Conference (ISSCC), February 2012 ©IEEE (.pdf)
  198. Mohammad Hassan Ghaed, Gregory Chen, David Blaauw, Dennis Sylvester, “Analysis and Measurement of the Stability of Dual-Resonator Oscillators,” IEEE Custom Integrated Circuts Conference (CICC), September 2011 ©IEEE (.pdf)
  199. Daeyeon Kim, Vikas Chandra, Robert Aitken, David Blaauw, Dennis Sylvester, “Variation-Aware Static and Dynamic Writability Analysis for Voltage-Scaled Bit-Interleaved 8-T SRAMs,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August, 2011 ©IEEE (.pdf)
  200. Mohammad Hassan Ghaed, Dennis Sylvester, David Blaauw, “A Dual-Passband Filter Architecture for Dual-Band Systems,” IEEE Antennas and Propagation Society (AP-S), July 2011 ©IEEE (.pdf)
  201. Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, and Dennis Sylvester, “A Super-Pipelined Energy Efficient Subthreshold 240MS/s FFT Core in 65nm,” Design Automation Conference (DAC), June 2011
  202. Bharan Giridhar, David Fick, Matthew Fojtik, Sudhir Satpathy, David Bull, Dennis Sylvester, David Blaauw, “Adaptive Robustness Tuning for High Performance Domino Logic”, IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2011 ©IEEE (.pdf)
  203. Yejoong Kim, Dennis Sylvester, and David Blaauw, “LC2: Limited Contention Level Converter for Robust Wide-Range Voltage Conversion,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2011. ©IEEE (.pdf)
  204. Sudhir Satpathy, Ronald Dreslinski, Tai-Chuan Ou, Dennis Sylvester, Trevor Mudge, David Blaauw,”SWIFT: A 2.1Tb/s 32×32 Self-Arbitrating Manycore Interconnect Fabric,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2011 ©IEEE (.pdf)
  205. Nurrachman Liu, Nathaniel Pinckney, Scott Hanson, Dennis Sylvester, David Blaauw, “A True Random Number Generator using Time-Dependent Dielectric Breakdown,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2011 ©IEEE (.pdf)
  206. Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “Energy-Optimized High Performance FFT Processor,” International Conference on Acoustics, Speech and Signal Processing (ICASSP), May 2011
  207. Gregory Chen, Michael Wieckowski, David Blaauw, Dennis Sylvester, “A Dense 45nm Half-differential SRAM with Lower Minimum Operating Voltage,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2011 ©IEEE (.pdf)
  208. Daeyeon Kim, Gregory Chen, Matthew Fojtik, Mingoo Seok, David Blaauw, Dennis Sylvester, “A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2011 ©IEEE (.pdf)
  209. Michael Wieckowski, Gregory Chen, Daeyeon Kim, David Blaauw, Dennis Sylvester, “A 128kb High Density Portless SRAM Using Hierarchical Bitlines and Thyristor Sense Amplifiers,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2011 ©IEEE (.pdf)
  210. Mark Woh, Sudhir Satpathy, Ronald G. Dreslinski, Daniel Kershaw, Dennis Sylvester, David Blaauw, Trevor Mudge, “Low Power Interconnects for SIMD Computers,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2011 ©IEEE (.pdf)
  211. Chia-Hsiang Chen, Yejoong Kim, Zhengya Zhang, David Blaauw, Dennis Sylvester, Helia Naeimi, Sumeet Sandhu “A Confidence-Driven Model for Error-Resilient Computing,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2011 ©IEEE (.pdf)
  212. Gregory Chen, Hassan Ghaed, Razi-Ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, David Fick, Daeyeon Kim, Mingoo Seok, Kensall Wise, David Blaauw, Dennis Sylvester, “A 1 Cubic Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor,” IEEE International Solid-State Circuits Conference (ISSCC), February 2011 ©IEEE (.pdf)
  213. Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “A 0.27V, 30MHz, 17.7nJ/transform 1024-pt complex FFT core with super-pipelining,” IEEE International Solid-State Circuits Conference (ISSCC), February 2011 ©IEEE (.pdf)
  214. Yoonmyung Lee, Bharan Giridhar, Zhiyoong Foo, Dennis Sylvester, David Blaauw, “A 660pW Multi-Stage Temperature Compensated Timer for Ultra-Low-Power Wireless Sensor Node Synchronization,” IEEE International Solid-State Circuits Conference (ISSCC), February 2011 ©IEEE (.pdf)
  215. Zhiyoong Foo, David Devecsery, Thomas Schmid, Nathan Clark, Mohammad Ghaed, Ye-Sheng Kuo, Inhee Lee, Yongmin Park, Nathaniel Slottow, Vikas Vinay, Micheal Wieckowski, Dongmin Yoon, Cliff Schmidt, David Blaauw, Peter Chen, Prabal Dutta, “A Case for Custom Silicon in Enabling Low-Cost Information Technology for Developing Regions,” ACM Symposium on Computing for Development, December 2010 ©IEEE (.pdf)
  216. Yoonmyung Lee, Mao-Ter Chen, Junsun Park, Dennis Sylvester, David Blaauw, “A 5.42nW/kB Retention Power Logic-Compatible Embedded DRAM with 2T Dual-Vt Gain Cell for Low Power Sensing Applications,” Asian Solid-State Circuits Conference (A-SSCC), November 2010 ©IEEE (.pdf)
  217. Vineeth Veetil, Dennis Sylvester, David Blaauw, “A Lower Bound Computation Method for Evaluation of Statistical Design Techniques,” ICCAD 2010, November 2010 ©IEEE (.pdf)
  218. Vivek Joshi, Kanak Agarwal, Dennis Sylvester, David Blaauw, “Analysis and Optimization of SRAM Robustness for Double Patterning Lithography,” ICCAD 2010, November 2010 ©IEEE (.pdf)
  219. Cheng Zhou, Kanak Agarwal, Dennis Sylvester, David Blaauw, “Active Learning Framework for Post-Silicon Variation Extraction and Test Cost Reduction,” ICCAD 2010, November 2010 ©IEEE (.pdf)
  220. Prashant Singh, Eric Karl, Dennis Sylvester, David Blaauw, “Dynamic NBTI Management Using a 45nm Multi-Degradation Sensor,” CICC 2010, September 2010 ©IEEE (.pdf)
  221. Vivek Joshi, Michael Wieckowski, Gregory Chen, David Blaauw, Dennis Sylvester, “Analyzing the Impact of Double Patterning Lithography on SRAM Variability in 45nm CMOS,” CICC 2010, September 2010 ©IEEE (.pdf)
  222. Mingoo Seok, Gyouho Kim, David Blaauw, Dennis Sylvester, “Variability Analysis of a Digitally Trimmable Ultra-Low Power Voltage Reference,” ESSCIRC 2010, September 2010 ©IEEE (.pdf)
  223. Greg Chen, Michael Wieckowski, David Blaauw, Dennis Sylvester, “Crosshairs SRAM – An Adaptive Memory for Mitigating Parametric Failures,” ESSCIRC 2010, September 2010 ©IEEE (.pdf)
  224. Mingoo Seok, David Blaauw, Dennis Sylvester, “Clock Network Design for Ultra-Low Power Applications,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August, 2010 ©IEEE (.pdf)
  225. Nurrachman Liu, Scott Hanson, Dennis Sylvester, David Blaauw, “OxID: On-Chip One-Time Random ID Generation using Oxide Breakdown,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2010 ©IEEE (.pdf)
  226. Sudhir Satpathy, Zhiyoong Foo, Bharan Giridhar, Dennis Sylvester, Trevor Mudge, David Blaauw, “A 1.07 Tbit/s 128×128 Swizzle Network for SIMD Processors,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2010 ©IEEE (.pdf)
  227. Vineeth Veetil, Yung-Hsu Chang, Dennis Sylveter, David Blaauw, “Efficient Smart Monte Carlo based SSTA on Graphics Processing Units with Improved Resource Utilization,” ACM/IEEE Design Automation Conference (DAC), June 2010 ©IEEE (.pdf)
  228. Vivek Joshi, “Closed-Form Modeling of Layout-Dependent Mechanical Stress,” ACM/IEEE Design Automation Conference (DAC), June 2010 ©IEEE (.pdf)
  229. Mingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David Blaauw, Dennis Sylvester, “Circuit Design Advances to Enable Ubiquitous Sensing Environments,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2010 ©IEEE (.pdf)
  230. Cheng Zhuo, David Blaauw, Dennis Sylvester, “Process Variation and Temperature Aware Reliability Management,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2010 ©IEEE (.pdf)
  231. Michael Wieckowski, Dennis Sylvester, David Blaauw, “A Black Box Method for Stability Analysis of Arbitrary SRAM Cell Structures,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2010 ©IEEE (.pdf)
  232. David Bull, Shidhartha Das, Karthik Shivashankar, Ganesh Dasika, Krisztian Flautner, David Blaauw, “A Power-efficient 32bit ARM ISA Processor using Timing-error Detection and Correction for Transient-error Tolerance and Adaptation to PVT Variation,” IEEE International Solid-State Circuits Conference (ISSCC), February 2010 ©IEEE (.pdf)
  233. Prashant Singh, Eric Karl, Dennis Sylvester, David Blaauw, “Dynamic NBTI Management Using a 45nm Multi-Degradation Sensor,” IEEE Transactions on Circuits and Systems I (TCAS-I), August 2011 ©IEEE (.pdf)
  234. Jae-sun Seo, Ron Ho, Jon Lexau, Michael Dayringer, Dennis Sylvester, David Blaauw, “High Bandwidth and Low Energy On-Chip Signaling with Adaptive Pre-Emphasis in 90nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2010 ©IEEE (.pdf)
  235. Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David Blaauw, “A Millimeter-Scale Nearly-Perpetual Sensor System with Stacked Battery and Solar Cells,” IEEE International Solid-State Circuits Conference (ISSCC), February 2010 ©IEEE (.pdf)
  236. David Fick, Nurrachman Liu, Zhiyoong Foo, Matthew Fojtik, David Blaauw, Dennis Sylvester, “In Situ Delay Slack Monitor for High-Performance Processors using an All-Digital, Self-Calibrating 5ps Resolution Time-to-Digital Converter,” IEEE International Solid-State Circuits Conference (ISSCC), February 2010 ©IEEE (.pdf)
  237. Cheng Zhou, Yung-Hsu Chang, Dennis Sylvester, David Blaauw, “Design Time Body Bias Selection for Parametric Yield Improvement,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), January 2010 ©IEEE (.pdf)
  238. Vivek Joshi, Kanak Agarwal, Dennis Sylvester, David Blaauw, “Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), January 2010 ©IEEE (.pdf)
  239. Cheng Zhou, David Blaauw, Dennis Sylvester, “Post-Fabrication Measurement-Driven Oxide Breakdown Reliability Prediction and Management,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2009 ©IEEE (.pdf)
  240. Ravikishore Gandikota, David Blaauw, Dennis Sylvester, “Interconnect Performance Corners considering Crosstalk Noise,” IEEE International Conference on Computer Design (ICCD), October 2009 ©IEEE (.pdf)
  241. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “Near-Field Communications using Phase-Locking and Pulse Signalling for Millimeter-Scale Systems,” IEEE Custom Integrated Circuts Conference (CICC), September 2009 ©IEEE (.pdf)
  242. Prashant Singh, Zhiyoong Foo, Michael Wieckowski, Scott Hanson, Matt Fojtik, David Blaauw, Dennis Sylvester, “Early Detection of Oxide Breakdown Through In Situ Degradation Sensing,”IEEE International Solid-State Circuits Conference (ISSCC), February 2010 ©IEEE (.pdf)
  243. Mingoo Seok, Gyouho Kim, Dennis Sylvester, David Blaauw, “A 0.5V 3.6ppm/0C 2.2pW 2-Transistor Voltage Reference,” IEEE Custom Integrated Circuts Conference (CICC), September 2009 ©IEEE (.pdf)
  244. Daeyeon Kim, Yoonmyung Lee, Jin Cai, Leland Chang, Steven J. Koester, Dennis Sylvester, David Blaauw, “Low Power Circuit Design Based on Hetrojunction Tunneling Transistors (HETTs),” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 2009, Best Paper Award ©IEEE (.pdf)
  245. David Fick, Andrew DeOrio, Jin Hu, David Blaauw, Dennis Sylvester, Valeria Bertacoo, “Vicis: A Reliable Network for Unreliable Silicon,” ACM/IEEE Design Automation Conference (DAC), July 2009 ©IEEE (.pdf)
  246. Ravikishore Gandikota, Li Ding, Peivand Tehrani, David Blaauw, “Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models,” ACM/IEEE Design Automation Conference (DAC), July 2009 ©IEEE (.pdf)
  247. Vineeth Veetil, Dennis Sylvester, David Blaauw, Saumil Shah, Steffen Rochel, “Efficient Smart Sampling based Full-Chip Leakage Analysis for Intra-Die Variation Considering State Dependence,” ACM/IEEE Design Automation Conference (DAC), July 2009 ©IEEE (.pdf)
  248. Ronald G. Dreslinski, David Fick, David Blaauw, Dennis Sylvester, Trevor Mudge, “Reconfigurable Multicore Server Processors for Low Power Operation,” International Symposium on Systems, Architectures, Modeling and Simulation (SAMOS), July 2009 ©IEEE (.pdf)
  249. Mike Wieckowski, Gregory K. Chen, Mingoo Seok, David Blaauw, Dennis Sylvester, “A hybrid DC-DC Converter for Sub-Microwatt Sub-IV Implantable Applications,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2009 ©IEEE (.pdf)
  250. Jae-Sun Seo, Dennis Sylvester, David Blaauw, “Crosstalk-Aware PWM-Based On-Chip Global Signaling in 65nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2009 ©IEEE (.pdf)
  251. Ronald Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvster, Trevor Mudge, “Near Threshold Computing: Overcoming Performance Degradation from Aggressive Voltage Scaling,” Workshop on Energy-Efficient Design (WEED), June 2009 ©IEEE (.pdf)
  252. David Fick, Andrew DeOrio, Gregory Chen, Valeria Bertacoo, Dennis Sylvester, David Blaauw, “A Highly Resilient Routing Algorithm for Fault-Tolerant NoCs,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), April 2009 ©IEEE (.pdf)
  253. Carlos Tokunaga, David Blaauw, “Secure AES engine with a local switched capacitor current equalizer,” IEEE International Solid-State Circuits Conference (ISSCC), February 2009 ©IEEE (.pdf)
  254. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “A 150pW Program-and-Hold Timer for Ultra-Low Power Sensor Platforms,” IEEE International Solid-State Circuits Conference (ISSCC), February 2009 ©IEEE (.pdf)
  255. Kaviraj Chopra, Cheng Zhuo, David Blaauw, Dennis Sylvester, Vladimir Zolotov, “A Statistical Approach for Full-Chip Gate-Oxide Reliability Analysis,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2008 ©IEEE (.pdf)
  256. Jae-Sun Seo, Igor Markov, Dennis Sylvester, David Blaauw, “On the Decreasing Significance of Large Standard Cells in Technology Mapping,”ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2008 ©IEEE (.pdf)
  257. Brian Cline, Vivek Joshi, Dennis Sylvester, David Blaauw, “Stress-Enhanced Standard Cell Library Design,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2008 ©IEEE (.pdf)
  258. Ronald Dreslinski, Greg Chen, Trevor Mudge, David Blaauw, Dennis Sylvester, Krisztian Flautner, “Reconfigurable Energy Efficient Near Threshold Cache Architectures,” ACM/IEEE International Symposium on Microarchitecture (MICRO), November 2008 ©IEEE (.pdf)
  259. David Blaauw, James Kitchener, Braden Phillips, “Optimizing addition for sub-threshold logic,” Forty-Second Asilomar Conference on Signals, Systems and Computers, October 2008 ©IEEE (.pdf)
  260. Yoonmyung Lee, Mingoo Seok, Scott Hanson, David Blaauw, Dennis Sylvester, “Standby Power Reduction Techniques for Ultra-Low Power Processors,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2008 ©IEEE (.pdf)
  261. Michael Wieckowski, Young Min Park, Carlos Tokunaga, Dong Woon Kim, Zhiyoong Food, Dennis Sylvester, David Blaauw, “Timing Yield Enhancement Through Soft Edge Flip-Flop Based Design,” IEEE Custom Integrated Circuts Conference (CICC), September 2008 ©IEEE (.pdf)
  262. Sanjay Pant, David Blaauw, “Circuit Techniques for Suppression and Measurement of On-chip Inductive Supply Noise,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2008 ©IEEE (.pdf)
  263. Mingoo Seok, Scott Hanson, Jae-Sun Seo, Dennis Sylvester, David Blaauw, “Robust Ultra-Low Voltage ROM Design,” IEEE Custom Integrated Circuts Conference (CICC), September 2008 ©IEEE (.pdf)
  264. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “An Ultra Low Power 1V, 220nW Temperature Sensor for Passive Wireless Applications,” IEEE Custom Integrated Circuts Conference (CICC), September 2008 ©IEEE (.pdf)
  265. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Efficient Monte Carlo based Incremental Statistical Timing Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2008 ©IEEE (.pdf)
  266. Vivek Joshi, Brian Cline, Dennis Sylvester, David Blaauw, Kanak Agarwal, “Leakage Power Reduction Using Stress-Enhanced Layouts,” ACM/IEEE Design Automation Conference (DAC), June 2008 ©IEEE (.pdf)
  267. Ravikishore Gandikota, David Blaauw, Dennis Sylvester, “Modeling Crosstalk in Statistical Static Timing Analysis”, ACM/IEEE Design Automation Conference (DAC), June 2008 ©IEEE (.pdf)
  268. Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Dayeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “The Phoenix Processor: A 30pW Platform for Sensor Applications,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2008 ©IEEE (.pdf)
  269. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “Sensor Data Retrieval Using Alignment Independent Capacitive Signaling,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2008 ©IEEE (.pdf)
  270. Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall Wise, Ann Marie Sastry, David Blaauw, Dennis Sylvester, “Low-Voltage Cricuit Design for Widespread Sensing Applications,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2008 ©IEEE (.pdf)
  271. Vivek Joshi, Brian Cline, Dennis Sylvester, David Blaauw, Kanak Agarwal, “Stress Aware Layout Optimization”, ACM/IEEE International Symposium on Physical Design (ISPD), April 2008 ©IEEE (.pdf)
  272. Eric Karl, David Blaauw, Dennis Sylvester, “Analysis of System-Level Reliability Factors and Implications on Real-time Monitoring Methods for Oxide Breakdown Device Failures,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2008 ©IEEE (.pdf)
  273. Brian Cline, Kaviraj Chopra, David Blaauw, Andres Torres, Savithri Sundareswaran, “Transistor-Specific Delay Modeling for SSTA,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2008 ©IEEE (.pdf)
  274. Ravikishore Gandikota, David Blaauw, Dennis Sylvester, “Modeling Crosstalk in Statistical Static Timing Analsys,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008 ©IEEE (.pdf)
  275. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Efficient Monte Carlo based Incremental Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008 ©IEEE (.pdf)
  276. Eric Karl, Prashant Singh, David Blaauw, Dennis Sylvester, “Compact in situ Sensors for Monitoring NBTI and Oxide Degradation,” IEEE International Solid-State Circuits Conference (ISSCC), February 2008 ©IEEE
  277. David Blaauw, Sudherssen Kalaiselvan, Kevin Lai, Wei-Hsiang Ma, Sanjay Pant, Carlos Tokunaga, Shidhartha Das, David Bull, “RazorII: In-Situ Error Detection and Correction for PVT and SER tolerance,” IEEE International Solid-State Circuits Conference (ISSCC), February 2008 ©IEEE (.pdf)
  278. Sanjay Pant, David Blaauw, “A Charge-Injection Based Active Decoupling Technique for Inductive Supply Noise Suppression,” IEEE International Solid-State Circuits Conference (ISSCC), February 2008 ©IEEE (.pdf)
  279. Gregory Chen, David Blaauw, Nam Sung Kim, Trevor Mudge, Dennis Sylvester, “Yield-driven Near-threshold SRAM Design,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2007 ©IEEE (.pdf)
  280. Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Murat Becer, “Victim Alignment in Crosstalk Aware Timing Analysis,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2007 ©IEEE (.pdf)
  281. Vivek Joshi, David Blaauw, Dennis Sylvester, “Soft-edge Flip-flops for Improved Timing Yield: Design and Optimization,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2007 ©IEEE (.pdf)
  282. Ronald G. Dreslinski, Bo Zhai, Trevor Mudge, David Blaauw, Dennis Sylvester, “An Energy Efficient Parallel Architecture Using Near Threshold Operation,” Parallel Architectures and Compilation Techniques (PACT), September 2007 ©IEEE (.pdf)
  283. David Roberts, Ronald G. Dreslinski, Eric Karl, Trevor Mudge, Dennis Sylvester, David Blaauw, “When Homogeneous becomes Heterogeneous,” Parallel Architectures and Compilation Techniques (PACT) workshop on Operating Systems support for Heterogeneous Multicore Architectures, September 2007 ©IEEE (.pdf)
  284. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “A sub-pW timer using gate leakage for ultra low power sub-Hz monitoring systems,” IEEE Custom Integrated Circuts Conference (CICC), September 2007 ©IEEE (.pdf)
  285. Bo Zhai, Ronald G. Dreslinski, Trevor Mudge, David Blaauw, Dennis Sylvester, “Energy Efficent Near-threshold Chip Multi-processing,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 2007, Best Paper Nomination ©IEEE (.pdf)
  286. Jae-sun Seo, Dennis Sylvester, David Blaauw, Himanshu Kaul, Ram Krishnamurthy, “A Robust Edge Encoding Technique for Energy-Efficient Multi-Cycle Interconnect,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 2007 ©IEEE (.pdf)
  287. Scott Hanson, Mingoo Seok, Dennis Sylvester, David Blaauw, “Nanometer Device Scaling in Subthreshold Circuits,” ACM/Design Automation Conference (DAC), June 2007 ©IEEE (.pdf)
  288. Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat Becer, “Top-k Aggressors Sets in Delay Noise Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2007 ©IEEE (.pdf)
  289. Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Analysis and Optimization of Sleep modes in Subthreshold Circut Design,” ACM/Design Automation Conference (DAC), June 2007 ©IEEE (.pdf)
  290. Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd Austin, Dennis Sylvester, David Blaauw, “Performance and variability optimization strategies in a sub-200mV, 3.5pJ/inst, 11nW subthreshold processor,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2007 ©IEEE (.pdf)
  291. Jae-Sun Seo, Prashant Signh, Dennis Sylvester, David Blaauw, “Self-timed Regenerators for High-speed and Low-power Interconnect,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2007, Best Paper Nomination ©IEEE (.pdf)
  292. Mini Nanua, David Blaauw, “Investigating Crosstalk in Sub-Threshold Circiuts,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2007 ©IEEE (.pdf)
  293. Kaviraj Chopra, Narendra Shenoy, David Blaauw, “Variogram Based Robut Extraction of Process Variation,” ACM/IEEE International Workshop on Timing Issues, Febraury 2007 ©IEEE (.pdf)
  294. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Criticality Aware Latin Hypercube Sampling for Efficient Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007 ©IEEE (.pdf)
  295. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Fast and Accurate Waveform Analysis with Current Source Models,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007 ©IEEE (.pdf)
  296. Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat Becer, “Top-k aggressors set in Delay Noise Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007 ©IEEE (.pdf)
  297. Bo Zhai, David Blaauw, Dennis Sylvester, Scott Hanson, “A sub-200mV 6T SRAM in 130nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), February 2007 ©IEEE (URL)
  298. Carlos Tokunaga, David Blaauw, Trevor Mudge, “A True Random Number Generator with a Metastability-Based Quality Control,”IEEE International Solid-State Circuits Conference (ISSCC), February 2007 ©IEEE (URL)
  299. Brian Cline, Kaviraj Chopra, David Blaauw and Yu Cao, “Analysis and Modeling of CD Variation for Statistical Static Timing,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2006 ©IEEE (.pdf)
  300. Sarvesh Kulkarni, Dennis Sylvester and David Blaauw “A Statistical Approach to Body Bias Clustering for Post-Silicon Tuning,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2006 ©IEEE (.pdf)
  301. Rajeev Rao, David Blaauw and Dennis Sylvester, “Soft Error Reduction in Combinational Logic Using Gate Resizing and Flip-flop Selection,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2006 ©IEEE (.pdf)
  302. Kaviraj Chopra, Bo Zhai, David Blaauw and Dennis Sylvester, “A New Statistical Max Operation for Propagating Skewness Statistical Timing Analysis,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2006 ©IEEE (.pdf)
  303. Fabio Albano, David Blaauw and Dennis Sylvester, Ann Mary Sastry, “Design and Optimization of Hybrid Power Systems for Fully Implantable Medical Devices,” Joint International Meeting Symposium on Bioelectronics, Biointerfaces, and Biomedical Applications 2 ©IEEE
  304. Sanjay Pant, David Blaauw, “An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks,” IEEE International Conference on Computer Design (ICCD), October 2006 ©IEEE (.pdf)
  305. Mini Nanua and David Blaauw, “Receiver Modeling for Static Functional Crosstalk Analysis,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos) ©IEEE (.pdf)
  306. Scott Hanson, Bo Zhai, David Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang, “Energy Optimality and Variability in Subthreshold Design,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), September 2006 ©IEEE (.pdf)
  307. Eric Karl, David Blaauw, Dennis Sylvester, Trevor Mudge, “Reliability Modeling and Management in Dynamic Microprocessor-Based Systems,” ACM/IEEE Design Automation Conference (DAC), July 2006 ©IEEE (.pdf)
  308. Bo Zhai, Leyla Nazhandali, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Sanjay Pant, David Blaauw, Todd Austin, “A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2006. ©IEEE (.pdf)
  309. Rajeev Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “An Efficient Static Algorithm for Soft Error Rate Analysis of Combinational Circuits,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2006 ©IEEE (.pdf)
  310. Vivek Joshi, Rajeev Rao, Dennis Sylvester, David Blaauw, “Logic SER Reduction through Flipflop Redesign,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2006. ©IEEE (.pdf)
  311. Smitha Shyam, Sujay Phadke, Benjamin Lui, Hitesh Gupta, Valeria Bertacco, David Blaauw, “VOLTaiRE: Low-cost Fault Detection Solutions for VLIW Microprocessors,” Workshop on Introspective Architecture (WISA), February 2006 ©IEEE (.pdf)
  312. Smitha Shyam, Sujay Phadke, Benjamin Lui, Hitesh Gupta, Valeria Bertacco, David Blaauw, “VOLTaiRE: Low-cost Fault Detection Solutions for VLIW Microprocessors,” Workshop on Introspective Architecture (WISA) ©IEEE (.pdf)
  313. Sanjay Pant, David Blaauw, “Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks,” in ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006. ©IEEE (.pdf)
  314. Kavi Chopra, Bo Zhai, David Blaauw, Dennis Sylvester, “A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006. ©IEEE (.pdf)
  315. Kavi Chopra, Chandramouli Kashyap, Haihua Su, David Blaauw, “Current Source Driver Model Synthesis and Worst-case Alignment for Accurate Timing and Noise Analysis,” ACM/IEEE International Workshop on Timing in Synthesis adn Specification (TAU), February 2006. ©IEEE (.pdf)
  316. Sanjay Pant, David Blaauw, “Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), January 2006 ©IEEE (.pdf)
  317. Kavi Chopra, Saumil Shah, Ashish Srivastava, David Blaauw, Dennis Sylvester, “Parametric Yield Maximization using Gate Sizing based on Efficient Statistical Power and Delay Gradient Computation,” ACM/IEEE Interational Conference on Computer-Aided Design (ICCAD), November 2005, pgs. 1023-1028. ©IEEE (.pdf)
  318. Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David Blaauw, Vladimir Zolotov, “Discrete Vt Assignment adn Gate Sizing Using a Self-Snapping Continuous Formulation,” ACM/IEEE Interational Conference on Computer-Aided Design (ICCAD), November 2005, pgs. 705-712. ©IEEE (.pdf)
  319. Amit Jain, Vladimir Zolotov, David Blaauw, “Accurate Delay Computation for Noisy Waveform Shapes,” ACM/IEEE Interational Conference on Computer-Aided Design (ICCAD), November 2005, pgs. 947-953. ©IEEE (.pdf)
  320. Sanjay Pant, David Blaauw, “Static Timing Analysis Considering Power Supply Variations,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2005, pgs. 365-372. ©IEEE (.pdf)
  321. Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Scott Hanson, Todd Austin, David Blaauw, “A Second-Generation Sensor Network Processor with Application-Driven Memory Optimizations and Out-of-Order Execution,” ACM/IEEE International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), September 2005. ©IEEE (.pdf)
  322. Bo Zhai, Scott Hanson, David Blaauw, Dennis Sylevester, “Analysis and Mitigation of Variability in Subthreshold Design,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2005, pgs. 20-25. ©IEEE (.pdf)
  323. Ashish Agarwal, Saulim Shah, Dennis Sylvester, David Blaauw, “Accurate and Efficient Gate-Level Parametric Yield Estimation Considering Correlated Variations in Leakage Power and Performance”, ACM/IEEE Design Automation Conference (DAC) June 2005, pgs. 535-540. ©IEEE (.pdf)
  324. Aseem Agarwal, Kaviraj Chopra, Vladimir Zolotov, David Blaauw, “Circuit Optimization using Statistical Static Timing Analysis,” ACM/IEEE Design Automation Conference (DAC) June 2005, pgs. 321-324. ©IEEE (.pdf)
  325. Leyla Nazhandali, Anna Reeves, Michael Minuth, Ryan Helfand, Javin Olson, Bo Zhai, Sanjay Pant, Todd Austin, David Blaauw, “Energy Optimization of Subthreshold Voltage Sensor Processors,” International Symposium on Computer Architecture (ISCA), June 2005, pgs. 197 – 207. ©IEEE (.pdf)
  326. Shidartha Das, Sanjay Pant, David Roberts, Seokwoo Lee, David Blaauw, Todd Austin, Trevor Mudge, and Krisztian Flautner, “A Self-Tuning DVS Processor Using Delay-Error Dectection and Correction,” IEEE Symposium on VLSI Circuits (VLSI-Symp), June 2005, pgs. 258 – 261. ©IEEE (.pdf)
  327. Ashish Agarwal, Saulim Shah, Dennis Sylvester, David Blaauw, “Accurate and Efficient Gate-Level Parametric Yield Estimation Considering Power/Performance Correlation,” ACM/IEEE Design Automation Conference (DAC), June 2005. ©IEEE (.pdf)
  328. David Blaauw, Kaviraj Chopra, “CAD Tools for Variation Tolerance,” ACM/IEEE Design Automation Conference (DAC), June 2005, pg. 766. ©IEEE (.pdf)
  329. Eric Karl, Dennis Sylvester, David Blaauw, “Timing Error Correction Techniques for Voltage-Scalable On-Chip Memories,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2005, pg. 3563 – 3566. ©IEEE (.pdf)
  330. Amir Borna, Christopher Progler, David Blaauw, “Correlation Analysis of CD-Variation and Circuit Performance Under Multiple Sources of Variability,” SPIE Design and Process Integration for Micro-electronic Manufacturing II, Lars W. Liebmann, May 2005. ©IEEE (.pdf)
  331. Amit Jain, David Blaauw, “Slack Borrowing in Flip-Flop Based Sequential Circuits,” ACM/IEEE Great Lakes Symposium on VLSI (GLSVLSI), April 2005. ©IEEE (.pdf)
  332. Rajeev Rao, David Blaauw, Dennis Sylvester, Charles Alpert, Sani Nassaf, “An Efficient Surface-Based Low-Power Buffer Insertion Algorithm,” ACM/IEEE International Symposium on Physical Design (ISPD), April 2005, pgs. 86-93. ©IEEE (.pdf)
  333. Harmander Singh Deogun, Dennis Sylvester, David Blaauw, “Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2005, pgs. 175-180. ©IEEE (.pdf)
  334. Aseem Agarwal, Kaviraj Chopra, Vladimir Zolotov, David Blaauw, “Statistical Timing Based Optimization Using Gate Sizing,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2005, Proceedings 2005, Vol. 1, pg. 400-405. ©IEEE (.pdf)
  335. Himanshu Kaul, Dennis Sylvester, David Blaauw, Trevor Mudge, Todd Austin, “DVS for On-Chip Designs Based on Timing Error Correction,”ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2005, Proceedings 2005, Vol. 1, pg. 80 – 85. ©IEEE (.pdf)
  336. David Roberts, Todd Austin, David Blaauw, Krisztian Flautner, Trevor Mudge, “Error Analysis for the Support of Robust Voltage Scaling,” International Symposium on Quality Electronic Design (ISQED), March 2005, pg. 65 – 70 ©IEEE (.pdf)
  337. Mini Nanua, David Blaauw, Chanhee Oh, “Leakage Current Modeling in PD SOI Circuits,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2005, pg. 113 – 117. ©IEEE (.pdf)
  338. Aseem Agarwal, Kaviraj Chopra, Vladimir Zolotov, “Statistical Timing Based Optimization Using Gate Sizing,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005. ©IEEE (.pdf)
  339. Amit Jain, David Blaauw, Vladimir Zolotov, “Accurate Gate Delay Model for Arbitrary Waveform Shapes,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005. ©IEEE (.pdf)
  340. Dongwoo Lee, David Blaauw, Dennis Sylvester, “Runtime Leakage Minimization through Probability-Aware Dual-Vt or Dual-Tox Assignment,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Vol. 1, January 2005, pg. 399-404. ©IEEE (.pdf)
  341. Kanak Agarwal, Dennis Sylvester, David Blaauw, Anirudh Devgan, “Achieving Continuous Vt Performance in a Dual Vt Process,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Vol. 1, January 2005, pgs. 393 – 398. ©IEEE (.pdf)
  342. Mridul Agarwal, Kanak Agarwal, Dennis Sylvester, David Blaauw, “Statistical Modeling of Cross-Coupling Effects in VLSI Interconnects,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Vol. 1, January 2005, pg 503-506. ©IEEE (.pdf)
  343. David Blaauw, Anirudh Devgan, Farid Najm, “Leakage Power: Trends, Analysis and Avoidance,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Embedded Tutorial, Vol. 1, Jan. 2005, pgs. T-2. ©IEEE (.pdf)
  344. Nam Sung Kim, Krisztian Flautner, David Blaauw, Trevor Mudge, “Single-Vdd and Single-Vt Super-Drowsy Techniques for low-leakage high-performance instruction caches,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2004, pg. 54-57. ©IEEE (.pdf)
  345. Seokwoo Lee, Todd Austin, Trevor Mudge, David Blaauw, “Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2004, pg. 319-324. (.pdf)
  346. Richard Brown, Dennis Sylvester, David Blaauw, Micheal Flynn, Gordon Carichner, Catharine June, “VLSI curriculum demonstration,” 2004 ASEE Annual Conference & Exposition, June 2004, session 2632 ©IEEE
  347. Aseem Agarwal, Florin Dartu, David Blaauw, “Statistical Gate Delay Model Considering Multiple Input Switching,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 658-663. ©IEEE (.pdf)
  348. Seokwoo Lee, Shiddartha Des, Valeria Bertacco, Todd Austin, David Blaauw, Trevor Mudge, “Circuit-Aware Architectural Simulation,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 305-310 ©IEEE (.pdf)
  349. Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester, “Parametric Yield Estimation Considering Leakage Variability,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 442-447. Best Paper Nomination ©IEEE (.pdf)
  350. Harmander Deogun, Rajeev Rao, Dennis Sylvester, David Blaauw, “Crosstalk- and Leakage-Aware Bus Encoding for Total Power Reduction,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 779-782. ©IEEE (.pdf)
  351. Ashish Srivastava, Dennis Sylvester, David Blaauw, Aseem Agarwal, “Statistical Optimization of Leakage Power Considering Process Variations Using Dual-Vth and Sizing,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 773-778. ©IEEE (.pdf)
  352. Ashish Srivastava, Dennis Sylvester, David Blaauw, “Power Minimization Using Simultaneous Gate Sizing, Dual-Vdd, and Dual-Vth Assignment,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg 783-787. ©IEEE (.pdf)
  353. Bo Zhai, David Blaauw, Dennis Sylvester, Krisztian Flautner, “Theoretical and Practical Limits of Dynamic Voltage Scaling,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 868-873. ©IEEE (.pdf)
  354. Kanak Agarwal, Dennis Sylvester, David Blaauw, Frank Liu, and Sarma Vrudhula, “Variational Delay Metrics for Interconnect Timing Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 381-384. ©IEEE (.pdf)
  355. Dongwoo Lee, Vladimir Zolotov, David Blaauw, “Static Timing Analysis using Backward Signal Propagation,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 664-669. ©IEEE (.pdf)
  356. Sanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, “A Stochastic Approach to Power Grid Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2004, pg. 171-176. ©IEEE (.pdf)
  357. Christopher Progler, Amir Borna, David Blaauw, Pierre Sixt, “Impact of Lithography Variability on Statistical Timing Behavior,” SPIE Design adn Process Integration for Microelectronic Manufacturing II, Lars W. Liebmann, Ed., Vol. 5379, May 2004, pg. 101-110. ©IEEE (.pdf)
  358. Todd Austin, David Blaauw, Trevor Mudge, Krisztián Flautner, “Making Typical Silicon Matter with Razor” IEEE Computer, Vol. 37, Issue 3, March 2004, pg. 57-65. ©IEEE (.pdf)
  359. Woo Hyung Lee, Sanjay Pant, David Blaauw, “Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids”, ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2004, og. 131-136. ©IEEE (.pdf)
  360. Dongwoo Lee, Harmander Deogun, David Blaauw, Dennis Sylvester, “Simultaneous State, Vt and Tox Assignment for Total Standby Power Minimization,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), Vol. 1, February 2004, pg. 494-499. ©IEEE (.pdf)
  361. Ashish Srivastava, Dennis Sylvester, David Blaauw, “Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), Vol. 1, February 2004, pg. 718-719. ©IEEE (.pdf)
  362. Amit M Jain, David Blaauw “Modelling Flip-flop Dependencies in Timing Analysis” TAU February 2004 ©IEEE (.pdf)
  363. Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Simplified Transmission-Line Based Crosstalk Noise Model for On-Chip RLC Wiring,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), January 2004, pg. 859 – 865. ©IEEE (.pdf)
  364. Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Toan Pham, Rajeev Rao, Conrad Ziesler, David Blaauw, Todd Austin, Trevor Mudge, “Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation,”ACM/IEEE International Symposium on Microarchitecture (MICRO), December 2003, pg. 7-18. Best Paper Award ©IEEE (.pdf)
  365. Kanak Agarwal, Dennis Sylvester, David Blaauw, “Dynamic Clamping: On-Chip Dynamic Shielding and Termination for High-Speed RLC Buses,” IEEE International Symposium on System-on-Chip, November, 2003, pg. 97-100. ©IEEE (.pdf)
  366. Aseem Agarwal, David Blaauw, Vladimir Zolotov, “Statistical Clock Skew Analysis Considering Intra-Die Process Variations,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 914-921. ©IEEE (.pdf)
  367. Aseem Agarwal, David Blaauw, Vladimir Zolotov, “Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 900-907. ©IEEE (.pdf)
  368. Sanjay Pant, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda, “Vectorless Analysis of Supply Noise Induced Delay Variation,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 184-191. ©IEEE (.pdf)
  369. Dmitry Nadezhin, Sergey Gavrilov, Alexey Glebov, Yury Egorov, Vladimir Zolotov, David Blaauw, Rajendran Panda, Murat Becer, Alexandre Ardelea, Ajay Patel, “SOI Transistor Model for Fast Transient Simulation,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 120-127. ©IEEE (.pdf)
  370. Sarvesh Bhardwaj, Sarma Vrudhula, David Blaauw, “tAU: Timing Analysis Under Uncertainty,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 615-620. ©IEEE (.pdf)
  371. Nam Sung Kim, David Blaauw, Trevor Mudge, “Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2003, pg. 627-632. ©IEEE (.pdf)
  372. Shidhartha Das, Kanak Agarwal, David Blaauw, Dennis Sylvester, “Optimal Inductance for On-chip RLC Interconnections,” IEEE International Conference on Computer Design (ICCD), October 2003, pg. 264-267. ©IEEE (.pdf)
  373. Mini Nanua, David Blaauw, “Noise Analysis Methodology for Partially Depleted SOI Circuits,” IEEE Custom Integrated Circuits Conference (CICC), September 2003, pg. 719-722. Best Regular Paper Award ©IEEE (.pdf)
  374. Himanshu Kaul, Dennis Sylvester, David Blaauw, “Clock Net Optimization Using Active Shielding,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2003, pg. 265-268. ©IEEE (.pdf)
  375. Rajeev Rao, Ashish Srivastava, David Blaauw, Dennis Sylvester, “Statistical Estimation of Leakage Current Considering Inter- and Intra-Die Process Variation,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2003, pg. 84-89 ©IEEE (.pdf)
  376. Dongwoo Lee, David Blaauw, “Static Leakage Reduction through Simultaneous Threshold Voltage and State Assignment,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 191-194 ©IEEE (.pdf)
  377. Dongwoo Lee, Wesley Kwong, David Blaauw, Dennis Sylvester, “Analysis and Minimization Techniques for Total Leakage Considering Gate Oxide Leakage,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 175-186 ©IEEE (.pdf)
  378. Murat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim Hajj, “Post-Route Gate Sizing for Crosstalk Noise Reduction,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 954-957 ©IEEE (.pdf)
  379. Kanak Agarwal, Dennis Sylvester, David Blaauw, “Simple Metrics for Slew Rate of RC Circuits Based on Two Circuit Moments,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 950-953 ©IEEE (.pdf)
  380. Kanak Agarwal, Dennis Sylvester, David Blaauw, “An Effective Capacitance Based Driver Output Model for On-Chip RLC Interconnects,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 376-381 ©IEEE (.pdf)
  381. Aseem Agarwal, David Blaauw, Vladimir Zolotov, “Computation and Refinement of Statistical Bounds on Circuit Delay,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 348-353 ©IEEE (.pdf)
  382. Bhavana Thudi, David Blaauw, “Non-Iterative Timing Window Computation for Delay Noise,” ACM/IEEE Design Automation Conference (DAC), June 2003, pg. 390-395 ©IEEE (.pdf)
  383. Haitian Hu, Vladimir Zolotov, Min Zhao, Rajendran Panda, David Blaauw, Sachin Sapatnekar, “Table Look-up Based Compact Modeling for On-Chip Interconnect Timing and Noise Analysis,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2003, pg. 668-671 ©IEEE (.pdf)
  384. Aseem Agarwal, Vladimir Zolotov, David Blaauw, “Statistical Timing Analysis Using Bounds,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2003, pg. 62-67 ©IEEE (.pdf)
  385. Dongwoo Lee, Wesley Kwong, David Blaauw, Dennis Sylvester, “Simultaneous Subthreshold and Gate-Oxide Tunneling Leakage Current in Nanometer CMOS Design,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2003, pg. 287-292 ©IEEE (.pdf)
  386. David Blaauw, Vladimir Zolotov, Chanhee Oh, Murat Becer, Rajendran Panda, “Static Electromigration Analysis for Signal Interconnects,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2003, pg. 377-382 ©IEEE (.pdf)
  387. Murat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim Hajj, “Post-Route Gate Sizing for Crosstalk Noise Reduction,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2003, pg. 171-176 ©IEEE (.pdf)
  388. Robert Bai, Sarvesh Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David Blaauw, “An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages,” IEEE Computer Society Annual Symposium on VLSI, February 2003, pg. 149-154 ©IEEE (.pdf)
  389. Aseem Agarwal, David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Min Zhou, Kaushik Gala, Rajendran Panda, “Statistical Delay Computation Considering Spatial Correlations,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), January 2003, pg. 271-276, Best Paper Award ©IEEE (.pdf)
  390. Aseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma Vrudhula, “Statistical Timing Analysis Using Bounds and Selective Enumeration,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 29-36 ©IEEE (.pdf)
  391. Aseem Agarwal, David Blaauw, Savithri Sundareswaran, Vladimir Zolotov, Min Zhou, Kaushik Gala, Rajendran Panda, “Path-Based Statistical Timing Analysis Considering Inter- and Intra-Die Correlations,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 16-21 ©IEEE (.pdf)
  392. Himanshu Kaul, Dennis Sylvester, David Blaauw, “Active Shielding of RLC Global Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 98-104 ©IEEE (.pdf)
  393. Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Library Compatible Driving Point Model for On-Chip RLC Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 63-69 ©IEEE (.pdf)
  394. Bhavana Thudi, David Blaauw, “Efficient Switching Window Computation For Cross-Talk Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 84-91 ©IEEE (.pdf)
  395. Nam Sung Kim, Krisztian Flautner, David Blaauw, Trevor Mudge, “Drowsy Instruction Caches: Leakage Power Reduction Using Dynamic Voltage Scaling and Cache Sub-bank Prediction”, ACM/IEEE International Symposium on Microarchitecture (MICRO), November 2002, pg. 219-230 ©IEEE (.pdf)
  396. Steve Martin, Krisztian Flautner, Trevor Mudge, David Blaauw, “Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Lower Power Microprocessors under Dynamic Workloads,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2002, pg. 721-725 ©IEEE (.pdf)
  397. Li Ding, David Blaauw, Pinaki Mazumder, “Efficient Crosstalk Noise Estimation Using Aggressor and Tree Reductions,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2002, pg. 595-600 ©IEEE (.pdf)
  398. Haitian Hu, David Blaauw, Vladimir Zolotov, Min Zhao, Rajendran Panda, Sachin Sapatnekar, “A Precorrected-FFT Method for Simulating On-Chip Inductance,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2002, pg. 221-227 ©IEEE (.pdf)
  399. David Blaauw, Vladimir Zolotov, Supamas Sirichotiyakul, Murat Becer, Chanhee Oh, Rajendran Panda, “Noise Propagation and Failure Criteria for VLSI Designs,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2002, pg. 587-594 ©IEEE (.pdf)
  400. Sarvesh Bhardwaj, Sarma Vrudhula, David Blaauw, “Estimation of Signal Arrival Times in the Presence of Delay Noise,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 2002, pg. 410-422 ©IEEE (.pdf)
  401. Fadi A. Aloul, Soha Hassoun, Karem A. Sakallah, David Blaauw, “Robust SAT-Based Search Algorithm for Leakage Power Reduction,” IEEE International Workshop-Power And Timing Modeling, Optimization and Simulation (Patmos), September 2002, pg. 167-177 ©IEEE (.pdf)
  402. Ashish Srivastava, Robert Bai, David Blaauw, Dennis Sylvester, “Modeling and Analysis of Leakage Power Considering within Die Process Variation,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2002, pg. 64-67 ©IEEE (.pdf)
  403. Sarma Vrudhula, David Blaauw, Supamas Sirichotiyakul, “Estimation of the Likelihood of Capacitive Coupling Noise,” ACM/IEEE Design Automation Conference (DAC), June 2002, pg. 653-658 ©IEEE (.pdf)
  404. Li Ding, Pinaki Mazumder, David Blaauw, “Crosstalk Noise Estimation Using Effective Coupling Capacitance,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2002, pg. 645-648 ©IEEE (.pdf)
  405. Krisztian Flautner, Nam Sung Kim, Steve Martin, David Blaauw, Trevor Mudge, “Drowsy Caches: Simple Techniques for Reducing Leakage Power,” ACM/IEEE International Symposium on Computer Architecture (ISCA), May 2002, pg. 148-157 ©IEEE (.pdf)
  406. Himanshu Kaul, Dennis Sylvester, David Blaauw, “Active Shields: A New Approach to Shielding Global Wires,” ACM/IEEE Great Lakes Symposium on VLSI (GLSVLSI), April 2002, pg. 112-117 ©IEEE (.pdf)
  407. Murat Becer, David Blaauw, Ibrahim Hajj, Rajendran Panda, “Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects,” ACM/IEEE International Workshop on System-Level Interconnect Prediction (SLIP), April 2002, pg. 77-83 ©IEEE (.pdf)
  408. Murat Becer, David Blaauw, Vladimir Zolotov, Rajendran Panda, Ibrahim Hajj, “Analysis of Noise Avoidance Techniques in Deep-Submicron Interconnects Using a Complete Crosstalk Noise Model,” IEEE/ACM Design Automation and Test in Europe Conference (DATE), March 2002, pg. 456-463 ©IEEE (.pdf)
  409. Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, “Noise Injection and Propagation in High Performance Designs,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2002, pg. 425 – 430 ©IEEE (.pdf)
  410. Alexey Glebov, Sergey Gavrilov, David Blaauw, Vladimir Zolotov, Rajendran Panda, Chanhee Oh, “False-Noise Analysis Using Resolution Method,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2002, pg. 437-442 ©IEEE (.pdf)
  411. Murat Becer, David Blaauw, Rajendran Panda, Ibrahim Hajj, “Pre-route Noise Estimation in Deep Submicron Integrated Circuits,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2002, pg. 413-418, Best Paper Nomination ©IEEE (.pdf)
  412. Alexey Glebov, Sergey Gavrilov, David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, “False-Noise Analysis Using Logic Implications,” IEEE/ACM International Conference on Computer Aided Design (ICCAD), November 2001, pg. 515-521 ©IEEE (.pdf)
  413. Rajendran Panda, Savithri Sundareswaran, David Blaauw, “On the Interaction of Power Distribution network with Substrate,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), August 2001, pg. 388-393 ©IEEE (.pdf)
  414. Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, Jingyan Zuo, “Driver Modeling and Alignment for Worst-Case Delay Noise,” ACM/IEEE Design Automation Conference (DAC), June 2001, pg. 720-725, Best Paper Nomination ©IEEE (.pdf)
  415. Murat Becer, David Blaauw, Supamas Sirichotiyakul, Rafi Levy, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Ibrahim Hajj, “A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance,” ACM/IEEE International Symposium on Quality Electronic Design (ISQED), March 2001, pg. 158-163 ©IEEE (.pdf)
  416. Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, Savithri Sundareswaran, “Slope Propagation in Static Timing Analysis,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 91-96 ©IEEE (.pdf)
  417. Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, “Driver Modeling and Alignment for Worst-Case Delay Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 1-7 ©IEEE (.pdf)
  418. David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda, “Slope Propagation in Static Timing Analysis,” IEEE International Conference on Computer Aided Design (ICCAD), November 2000, pg. 338-343 ©IEEE (.pdf)
  419. Rajendran Panda, David Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju, “Model and Analysis for Combined Package and On-Chip Power Grid Simulation,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2000, pg. 179-184 ©IEEE (.pdf)
  420. Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw, “On-Chip Inductance Modeling and Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2000, pg. 63-68, Best Paper Award ©IEEE (.pdf)
  421. Min Zhao, Rajendran Panda, Sachin Sapatnekar, Tim Edwards, Rajat Chaudhry, David Blaauw, “Hierarchical Analysis of Power Distribution Networks,” ACM/IEEE Design Automation Conference (DAC), June 2000, pg. 150-155 ©IEEE (.pdf)
  422. David Blaauw, Rajendran Panda, Abhijit Das, “Removing User-Specified False Paths from Timing Graphs,” ACM/IEEE Design Automation Conference (DAC), June 2000, pg. 270-273 ©IEEE (.pdf)
  423. Rafi Levy, David Blaauw, Gabi Braca, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Vladimir Zolotov, “ClariNet: A Noise Analysis Tool and Methodology for Deep-Submicron Design,” ACM/IEEE Design Automation Conference (DAC), June 2000, pg. 233-238 ©IEEE (.pdf)
  424. Rajat Chaudhry, David Blaauw, Rajendran Panda, Tim Edwards, “Current Signature Compression for IR-Drop Analysis,” ACM/IEEE Design Automation Conference (DAC), June 2000, pg. 162-167 ©IEEE (.pdf)
  425. Rajat Chaudhry, Rajendran Panda, Tim Edwards, David Blaauw, “Design and Analysis of Power Distribution Networks with Accurate RLC Models,” ACM/IEEE International Conference on VLSI Design (VLSI-India), January 2000, pg. 151-155 ©IEEE (.pdf)
  426. Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Jingyan Zuo, Abhijit Dharchoudhury, Rajendran Panda, and David Blaauw, “Stand-by Power Minimization through Simultaneous Threshold Voltage Selection and Circuit Sizing,” ACM/IEEE Design Automation Conference (DAC), June 1999, pg. 436-441 ©IEEE (.pdf)
  427. David Blaauw, Tim Edwards, “Generating False Path Free Timing Graphs Using Node Splitting,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), March 1999, pg. 112-117 ©IEEE
  428. Savithri Sundareswaran, David Blaauw, Abhijit Dharchoudhury, “A Three Tier Assertion Technique for Spice Verification of Transistor Level Timing Analysis,” ACM/IEEE International Conference on VLSI Design (VLSI-India), January 1999, pg. 175-180 ©IEEE (.pdf)
  429. Rajendran Panda, Abhijit Dharchoudhury, Tim Edwards, Joe Norton, David Blaauw, “Migration: A New Technique to Improve Synthesized Designs through Incremental Customization,” ACM/IEEE Design Automation Conference (DAC), June 1998, pg. 388-391 ©IEEE (.pdf)
  430. Satya Pullela, Rajendran Panda, Abhijit Dharchoudhury, Gopal Vijayan, David Blaauw, “CMOS Combinational Circuit Sizing by Stage-Wise Tapering,” IEEE/ACM Design Automation and Test in Europe Conference (DATE), February 1998, pg. 985-986 ©IEEE (.pdf)
  431. Sergey Gavrilov, Alexey Glebov, Satya Pullela, Steve Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopal Vijayan, David Blaauw, “Library-Less Synthesis for CMOS Combinational Logic Circuits,” ACM/IEEE International Conference on Computer-Aided Design (ICCAD), November 1997, pg. 658-662 ©IEEE (.pdf)
  432. Abhijit Dharchoudhury, David Blaauw, Joe Norton, Satya Pullela, Jim Dunning, “Transistor-Level Sizing and Timing Verification of Domino Circuits in the PowerPC Microprocessor,” IEEE International Conference on Computer Design (ICCD), October 1997, pg. 143-148 ©IEEE (.pdf)
  433. Sergey Gavrilov, Alexey Glebov, Sergey Rusakov, David Blaauw, Larry Jones, Gopal Vijayan, “Fast Power Loss Calculation for Digital Static CMOS Circuits,” ACM/IEEE European Design and Test Conference (ED&T), March 1997, 411-415 ©IEEE (.pdf)
  434. Daksh Lenther, Satya Pullela, David Blaauw, Shantanu Ganguly, “Hierarchical Clock-network Optimization,” ACM Physical Design Workshop, April 1996, pg. 49-54 ©IEEE
  435. Alexey Glebov, David Blaauw, Larry Jones, “Transistor Reordering for Low Power CMOS Gates Using an SP-BDD Representation,” ACM/IEEE International Symposium on Low Power Design (ISLPD), April 1995, pg. 161-166 ©IEEE (.pdf)
  436. John Willis, Rob Newshutz, Lance Thompson, Jeff Graves, Tom Dillinger, Jeff Snyder, Nimish Radia, Joe Skovira, David Blaauw, Sidhartha Mohanty, Zhiyuan Li, Sandra Samelson, Matt Lin, “MinSim: Optimized, Compiled VHDL Simulation Using Networked & Parallel Computers,” IEEE VHDL International User Forum, October 1993, pg. 137-144 ©IEEE
  437. David Blaauw, Larry Jones, “Reducing the Scheduling Cost in Event-Driven Simulation through Component Clustering,” ACM/IEEE European Conference on Design Automation (EDAC), February 1993, pg. 18-22 ©IEEE (.pdf)
  438. David Blaauw, Daniel Saab, Prith Banerjee, Jacob Abraham, “Functional Abstraction of Logic Gates for Switch-Level Simulation,” ACM/IEEE European Conference on Design Automation (EDAC), February 1991, pg. 329-333 ©IEEE (.pdf)
  439. David Blaauw, Robert Mueller-Thuns, Daniel Saab, Prith Banerjee, Jacob Abraham, “SNEL: A Switch-level Simulator Using Multiple Functional Abstraction,” ACM/IEEE International Conference on Computer Aided Design (ICCAD), November 1990, pg. 66-69 ©IEEE (.pdf)
  440. David Blaauw, Prith Banerjee, Jacob Abraham, “Automatic Classification of Node Types in Switch-Level Descriptions,” IEEE International Conference on Computer Design (ICCD), September 1990, pg. 175-178 ©IEEE (.pdf)
  441. Daniel Saab, Robert Mueller-Thuns, David Blaauw, Joe Rahmeh, Jacob Abraham, “Fault Grading of Large Digital Systems,” IEEE International Conference on Computer Design (ICCD), September 1990, pg. 290-293 ©IEEE (.pdf)
  442. David Blaauw, Daniel Saab, Junsheng Long, and Jacob Abraham, “Derivation of Signal Flow for Switch-Level Simulation,” ACM/IEEE European Conference on Design Automation (EDAC), March 1990, pg. 301-305 ©IEEE (.pdf)
  443. David Blaauw, Daniel Saab, Robert Mueller-Thuns, Jacob Abraham, Joe Rahmeh, “Automatic Generation of Behavioral Models,” ACM/IEEE Design Automation Conference (DAC), June 1989, pg. 179-184 ©IEEE
  444. Daniel Saab, Robert Mueller-Thuns, David Blaauw, Jacob Abraham, Joe Rahmeh, “CHAMP: Concurrent and Multilevel Program for Simulation of VLSI Circuit,” ACM/IEEE International Conference on Computer Aided Design (ICCAD), November 1988, pg. 246-249,” November 1988, pg. 246-249. ©IEEE (.pdf)
  445. Yoonmyung Lee, Gregory Chen, Scott Hanson, Dennis Sylvester, David Blaauw, “Ultra-Low power circuit techniques for a new class of sub-mm3 sensor nodes,” IEEE Custom Integrated Circuts Conference (CICC), September 2010 ©IEEE (.pdf)

Journals

  1. Heejin Yang, Ji-Hwan Seol, Rohit Rothe, Zichen Fan, Qirui Zhang, Hun-Seok Kim, David Blaauw, Dennis Sylvester, “A 1.5μW Fully-Integrated Keyword Spotting SoC in 28nm CMOS with Skip-RNN and Fast-Settling Analog Frontend for Adaptive Frame Skipping,” IEEE Journal of Solid-State Circuits (JSSC), accepted ©IEEE
  2. Chien-Wei Tseng, Zhen Feng, Zichen Fan, Hyochan An, Yunfan Wang, Hun-Seok Kim, David Blaauw, “A Low-Power Highly Reconfigurable Analog FIR Filter With 11-bit Charge-domain DAC for Narrowband Receivers,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2023, February 2024 ©IEEE
  3. Yimai Peng, Seokhyeon Jeong, Kyojin Choo, Yejoong Kim, Li-Yu Chen, Rohit Rothe, Li Xu, Ilya Gurin, Omid Oliaei, Matthew J Thompson, Stephen Bart, Peter Hartwell, David Blaauw, Dennis Sylvester, “An Ultra-Low-Power Triaxial MEMS Accelerometer with High-Voltage Biasing and Electrostatic Mismatch Compensation,” IEEE Journal of Solid-State Circuits (JSSC), January 2024, pgs. 1-17 ©IEEE (.pdf)
  4. Jungho Lee, Joseph G. Letner, Jongyup Lim, Gabriele Atzeni, Jiawei Liao, Abhilasha Kamboj, Bhavika Mani, Seokhyeon Jeong, Yejoong Kim, Yi Sun, Beomseo Koo, Julianna Richie, Elena della Valle, Paras R. Patel, Dennis Sylvester, Hun-Seok Kim, Taekwang Jang, Jamie Phillips, Cynthia A. Chestek, James Weiland, David Blaauw, “A Sub-mm3 Wireless Neural Stimulator IC for Visual Cortical Prosthesis with Optical Power Harvesting and 7.5 kbps Data Telemetry,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI, January 2024, pgs. 1-13 ©IEEE (.pdf)
  5. Anhang Li, Jeongsup Lee, Prashansa Mukim, Brian D. Hoskins, Pragya Shrestha, David Wentzloff, David Blaauw, Dennis Sylvester, Mehdi Saligane, “A fully integrated, automatically generated DC-DC converter maintaining >75% efficiency from 398 K down to 23 K across wide load ranges in 12 nm FinFET,” IEEE Solid-State Circuits Letters, Vol. 7, January 2024, pgs. 4-45 ©IEEE (.pdf)
  6. Qirui Zhang, Hyochan An, Andrea Bejarano-Carbo, Hun-Seok Kim, David Blaauw, Dennis Sylvester, “An Ultra-Low-Power H.264/AVC Intra-Frame Image Compression Accelerator for Intelligent Event-Driven IoT Imaging Systems,” IEEE Solid-State Circuit Letters, Vol. 7, December 2023, pgs. 30-33 ©IEEE (.pdf)
  7. Rohit Rothe, Hai Li, Dmitri E. Nikonov, Ian A. Young, Kyojin Choo, David Blaauw, “Energy Efficient Logic and Memory Design with Beyond-CMOS Magneto-Electric Spin-Orbit Technology Toward Ultra Low Supply Voltage,” IEEE Journal of Exploratory Solid-State Computational Devices and Circuits, December 2023 ©IEEE (.pdf)
  8. Anish Krishnakumar, Hanguang Yu, Tutu Ajayi, A. Alper Goksoy, Vishrut Pandey, Joshua Mack, Sahil Hassan, Kuan-Yu Chen, Chaitali Chakrabarti, Daniel W. Bliss, Ali Akoglu, Hun-Seok Kim, Ronald G. Dreslinski, David Blaauw, Umit Y. Ogras, “FALCON: An FPGA Emulation Platform for Domain-Specific Systems-on-Chip (DSSoCs),” IEEE Design & Test, June 2023 ©IEEE (.pdf)
  9. Donguk Seo, Minsik Cho, Minhyeok Jeong, Gicheol Shin, Inhee Lee, David Blaauw, Yoonmyung Lee, “An RC Delay-Based Pressure-Sensing System with Energy-Efficient Bit-Level Oversampling Techniques for Implantable IOP Monitoring Systems,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 58, No. 10, October 2023, pgs. 2745-2756 ©IEEE (.pdf)
  10. Harisankar Sadasivan, Jack Wadden, Kush Goliya, Piyush Ranjan, Robert P. Dickson, David Blaauw, Reetuparna Das, Satish Narayanasamy, “Rapid Real-time Squiggle Classification for Read Until Using RawMap,” Archives of Clinical and Biomedical Research, Vol. 7, No. 1, January 2023, pgs. 45-57 ©IEEE (.pdf)
  11. Leul Belayneh, Haojie Ye, Kuan-Yu Chen, David Blaauw, Trevor Mudge, Ronald Dreslinski, Nishil Talati, “Locality-aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems,” 31st International Conference on Parallel Architectures and Compilation Techniques (PACT), January 2023, pgs. 304–316 ©IEEE (.pdf)
  12. Yimai Peng, Gordy Carichner, Yejoong Kim, Li-Yu Chen, Rémy Tribhout, Benoît Piranda, Julien Bourgeois, Member, David Blaauw, Dennis Sylvester, “A High-Voltage Generator and Multiplexer for Electrostatic Actuation in Programmable Matter,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2022, Vol. 58, No. 4, April 2023, pgs. 915-928 ©IEEE (.pdf)
  13. Hyochan An, Samuel R. Nason-Tomaszewski, Jongyup Lim, Kyumin Kwon, Matthew S. Willsey, Parag G. Patil, Hun-Seok Kim, Dennis Sylvester, Cynthia A. Chestek, and David Blaauw “A Power-Efficient Brain-Machine Interface System with a Sub-mW Feature Extraction and Decoding ASIC Demonstrated in Nonhuman Primates,” IEEE Transactions on Biomedical Circuits and Systems, Vol. 16, No. 3., May 2020, pgs. 395-408 ©IEEE (.pdf)
  14. Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Yi Sun, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David Blaauw, Dennis Sylvester, Taekwang Jang, “A Light Tolerant Wireless Neural Recording IC for Motor Prediction with Near-Infrared-based Power and Data telemetry,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, Vol. 57., No. 4, January 2022, pgs. 1061-1074 ©IEEE (.pdf)
  15. Sujin Park, Ji-Hwan Seol, Li Xu, SeongHwan Cho, Dennis Sylvester, David Blaauw, “A 43nW, 32kHz, ±4.2ppm Piece-Wise Linear Temperature Compensated Crystal Oscillator with ΔΣ Modulated Load Capacitance,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, Vol. 57, Vol. 4, January 2022, pgs. 1175 – 1186 ©IEEE (.pdf)
  16. Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tutu Ajayi, Yan Xiong, Trevor Mudge, Chaitali Chakrabarti, David Blaauw, Ronald Dreslinski, Hun-Seok Kim, “Versa: A 36-Core Systolic Multiprocessor with Dynamically-Reconfigurable Interconnect and Memory,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, Vol. 57, No. 4, January 2022, pgs. 986-998 ©IEEE (.pdf)
  17. Inhee Lee, Roger Hsiao, Gordy Carichner, Chin-Wei Hsu, Mingyu Yang, Sara Shoouri, Katherine Ernst, Tess Carichner, Yyuang Li, Jaechan Lim, Cole R. Julick. Eunseong Moon, Yi Sun, Jamie Phillips, Kristi L. Montooth, Delbert A. Green II, Hun-Seok Kim, David Blaauw, “Tracking the Migration of the Monarch Butterflies with the World’s Smallest Computer,” GetMobile: Mobile Comp. and Comm., Vol. 26, No. 1, March 2022 ©IEEE (.pdf)
  18. Jack Wadden, Brandon Newell, Joshua Bugbee, Vishal John, Amy K. Bruzek, Robert P. Dickson, Carl Koschmann, David Blaauw, Satish Narayanasamy, Reetuparna Das, “Ultra-Rapid Somatic Variant Detection via Real-Time Targeted Amplicon Sequencing,” Communications Biology, July 2022 ©IEEE (.pdf)
  19. Joseph T. Costello, Samuel R. Nason-Tomaszewski, Hyochan An, Jungho Lee, Matthew J. Mender, Hisham Temmar, Dylan M. Wallace, Jongyup Lim, Matthew S. Willsey, Parag G. Patil, Taekwang Jang, Jamie D. Phillips, Hun-Seok Kim, David Blaauw, Cynthia A. Chestek, “A low-power communication scheme for wireless, 1000 channel brain-machine interfaces,” Journal of Neural Engineering, Vol. 19, No. 3., June 2022 ©IEEE (.pdf)
  20. Qirui Zhang, Wenbo Duan, Tim Edwards, Tim Ansell, David Blaauw, Dennis Sylvester, Me-hdi Saligane, “An Open-Source and Autonomous Temperature Sensor Generator Verified with 64 Instances in SkyWater 130nm for Comprehensive Design Space Exploration,” in IEEE Solid-State Circuits Letters, Vol. 5, July 2022, pgs. 174-177 ©IEEE (.pdf)
  21. Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni, Joseph G. Letner, Joseph T. Costello, Samuel R. Nason, Paras R. Patel, Yi Sun, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David Blaauw, Dennis Sylvester, Taekwang Jang, “A Light Tolerant Wireless Neural Recording IC for Motor Prediction with Near-Infrared-based Power and Data telemetry,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, January 2022 ©IEEE (.pdf)
  22. Sujin Park, Ji-Hwan Seol, Li Xu, SeongHwan Cho, Dennis Sylvester, David Blaauw, “A 43nW, 32kHz, ±4.2ppm Piece-Wise Linear Temperature Compensated Crystal Oscillator with ΔΣ Modulated Load Capacitance,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, January 2022 ©IEEE (.pdf)
  23. Sung Kim, Morteza Fayazi, Alhad Daftardar, Kuan-Yu Chen, Jielun Tan, Subhankar Pal, Tu-tu Ajayi, Yan Xiong, Trevor Mudge, Chaitali Chakrabarti, David Blaauw, Ronald Dreslinski, Hun-Seok Kim, “Versa: A 36-Core Systolic Multiprocessor with Dynamically-Reconfigurable Interconnect and Memory,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, Vol. 57, No. 4, January 2022, pgs. 986-998 ©IEEE (.pdf)
  24. Ji-Hwan Seol, Kyojin Choo, David Blaauw, Dennis Sylvester, Taekwang Jang, “A Reference Oversampling PLL achieving −256-dB FoM and −78-dBc Reference Spur,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ASSCC 2020, Vol. 56, No. 10, October 2021, pgs. 2993-3007 ©IEEE (.pdf)
  25. Li Xu, Taekwang Jang, Jongyup Lim, Kyojin Choo, David Blaauw, and Dennis Sylvester, “A 510pW 32kHz Crystal Oscillator with High Energy-to-Noise-Ratio Pulse Injection,” IEEE Journal of Solid-State Circuits (JSSC), July 2021 ©IEEE (.pdf)
  26. Cindy S. Bick, Inhee Lee, David Blauuw, Trevor Coote, Amanda E. Haponski, and Diar-maid Ó Foighil, “Millimeter-sized smart sensors reveal that a solar refuge protects tree snail Partula hyalina from extirpation,” Communications Biology, June 2021 ©IEEE (.pdf)
  27. Li Xu, David Blaauw and Dennis Sylvester, “Ultra-Low Power 32kHz Crystal Oscillators: Fundamentals and Design Techniques,” Open Journal of the Solid State Circuits Society, accepted ©IEEE (.pdf)
  28. Li Xu, Kyojin Choo, David Blaauw, Dennis Sylvester, “An Analog-Assisted Digital LDO with Sin-gle Subthreshold Output PMOS Achieving 1.44fs FOM,” IEEE Solid-State Circuits Letters, August 2021, pgs. 154 – 157 ©IEEE (.pdf)
  29. Jongyup Lim, Jungho Lee, Eunseong Moon, Michael Barrow, Gabriele Atzeni Joseph Letner, Jo-seph Costello, Samuel R. Nason, Paras R. Patel, Parag G. Patil, Hun-Seok Kim, Cynthia A. Chestek, Jamie Phillips, David Blaauw, Dennis Sylvester, Taekwang Jang, “A Light Tolerant Neural Re-cording IC for Near-Infrared-Powered Free Floating Motes,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, June 2021 ©IEEE (.pdf)
  30. Rohit Rothe, Minchang Cho, Kyojin Choo, Seokhyeon Jeong, Sechang Oh, Dennis Sylvester, David Blaauw, “A 192 nW 0.02 Hz High Pass Corner Acoustic Analog Front-End with Automatic Saturation Detection and Recovery,” IEEE Journal of Solid-state Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2021, June 2021 ©IEEE (.pdf)
  31. Li Xu, Taekwang Jang, Jongyup Lim, Kyojin Choo, David Blaauw, and Dennis Sylvester, “A 510pW 32kHz Crystal Oscillator with High Energy-to-Noise-Ratio Pulse Injection,” IEEE Journal of Solid-State Circuits (JSSC), July 2021 ©IEEE (.pdf)
  32. Ji-Hwan Seol, Kyojin Choo, David Blaauw, Dennis Sylvester, Taekwang Jang, “A Reference Over-sampling PLL achieving −256-dB FoM and −78-dBc Reference Spur,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ASSCC 2020, Vol. 56, No. 10, October 2021, pgs. 2993-3007 ©IEEE (.pdf)
  33. Eunseong Moon, Michael Barrow, Jongyup Lim, Jungho Lee, Samuel Nason, Joseph Costello, Hun Seok Kim, Cynthia Chestek, Taekwang Jang, David Blaauw, Jamie Phillips, “Bridging the Last Millimeter Gap of Brain-Machine Interfaces via Near-Infrared Wireless Power Transfer and Data Communications,” ACS Photonics, Vol. 8, No. 5, April 2021, pgs. 1430–1438 ©IEEE (.pdf)
  34. Najme Ebrahimi, Hun Seok Kim, David Blaauw, “Physical Layer Secret Key Generation Using Joint Interference and Phase-Shift Keying Modulation” IEEE Transaction on Microwave Theory and Techniques (TMTT), Vol. 69, No. 5, May 2021, pgs. 2673-2685 ©IEEE (.pdf)
  35. Xiao Wu, Arun Subramaniyan, Zhehong Wang, Satish Narayanasamy, Reetuparna Das, David Blaauw, “A High-Throughput Pruning-based Pair-Hidden-Markov-Model Hardware Accelerator for Next-Generation DNA Sequencing,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on VLSI 2020, Vol. 4, 2021, pgs. 31-35 ©IEEE (.pdf)
  36. Ziyun Li, Zhehong Wang, Li Xu, Qing Dong, Bowen Liu, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David Blaauw, “RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-on-Chip DNN Accelerator,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2020, Vol. 56, No. 4, April 2021, pgs. 1105-1115 ©IEEE (.pdf)
  37. Jingcheng Wang, Hyochan An, Qirui Zhang, Hun Seok Kim, David Blaauw, Dennis Sylvester, “A 40nm Ultra-low Leakage Voltage-Stacked SRAM for Intelligent IoT Sensors,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on VLSI 2020, Vol. 4, 2021, pgs. 14-17 ©IEEE (.pdf)
  38. Hyochan An, Sam Schiferl, Siddharth Venkatesan, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Luyao Gong, Hengfei Zhong, David Blaauw, Ronald Dreslinski, Hun Seok Kim, Dennis Sylvester, “An Ultra-low-power Image Signal Processor for Hierarchical Image Recognition with Deep Neural Networks,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2020, No. 4, April 2021, pgs. 1071-1081 ©IEEE (.pdf)
  39. Zhehong Wang, Tianjun Zhang, Daichi Fujiki, Arun Subramaniyan, Xiao Wu, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Reetuparna Das, Satish Narayanasamy, David Blaauw, “A 2.46M reads/s Seed-Extension Accelerator for Next-Generation-Sequencing using a String-Independent PE Array,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on CICC 2020, Vol. 56, No. 3, March 2021, pgs. 824-833 ©IEEE (.pdf)
  40. Ji-Hwan Seol, Kyojin Choo, David Blaauw, Dennis Sylvester, Taekwang Jang, “A 67-fsrms Jitter, −130 dBc/Hz In-Band Phase Noise, −256-dB FoM Reference Oversampling Digital PLL With Proportional Path Timing Control,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on ASSCC 2020, Vol. 3, September 2020, pg. 430-433 ©IEEE (.pdf)
  41. Eunseong Moon, Michael Barrow, Jongyup Lim, David Blaauw, Jamie D. Phillips, “Dual-Junction GaAs Photovoltaics for Low Irradiance Wireless Power Transfer in Sub Millimeter-scale Sensor Nodes,” IEEE Journal of Photovoltaics, Vol. 10, No. 6, November 2020, pg. 1721-1726 ©IEEE (.pdf)
  42. Samuel R. Nason, Alex K. Vaskov, Matthew S. Willsey, Elissa J. Welle, Hyochan An, Philip P. Vu, Autumn J. Bullard, Chrono S. Nu, Jonathan C. Kao, Krishna V. Shenoy, Taekwang Jang, Hun-Seok Kim, David Blaauw, Parag G. Patil, Cynthia A. Chestek, “A low-power band of neuronal spiking activity dominated by local single units improves the performance of brain–machine interfaces,”  Nature Biomedical Engineering, Vol. 4, July 2020, pg. 973-983 ©IEEE (.pdf)
  43. Jeongsup Lee, Mehdi Saligane, David Blaauw and Dennis Sylvester, “A 0.3V to 1.8–3.3V Leakage-Biased Synchronous Level Converter for ULP SoCs,” IEEE Solid-State Circuits Letters, Vol. 3,  July 2020, pg. 130 – 133 ©IEEE (.pdf)
  44. Samuel R. Nason, Alex K. Vaskov, Matthew S. Willsey, Elissa J. Welle, Hyochan An, Philip P. Vu, Autumn J. Bullard, Chrono S. Nu, Jonathan C. Kao, Krishna V. Shenoy, Taekwang Jang, Hun-Seok Kim, David Blaauw, Parag G. Patil, and Cynthia A. Chestek, “A low-power band of neuronal spiking activity dominated by local single-unit spikes improves the performance of brain–machine interfaces,” Nature Biomedical Engineering, accepted ©IEEE (.pdf)
  45. Li-Xuan Chuo, Zhen Feng, Yejoong Kim, Nikolaos Chiotellis, Makoto Yasuda, Satoru Miyoshi,Masaru Kawaminami, Anthony Grbic, David Wentzloff, David Blaauw, and Hun-Seok Kim, “Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency Interlocking IF Receiver for a Fully Integrated 4x4x4mm3Wireless Sensor Node,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on RFIC 2019, Volume: 55 , No. 5, May 2020, pg. 1128 – 1138 ©IEEE ©IEEE (.pdf) 
  46. Dong-Hyeon Park, Subhankar Pal, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael Taylor, Trevor Mudge, David Blaauw, Hun-Seok Kim, Ronald Dreslinski, “A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator,” IEEE Journal of Solid State Circuits (JSSC), Vol. 55, No. 4, April 2020, pg. 933-944 ©IEEE (.pdf)
  47. Jeongsup Lee, Yiqun Zhang, Qing Dong, Wooteak Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David Blaauw, Dennis Sylvester, “A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy Optimal Operation,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, Vol. 55, No. 1, January 2020, pg. 87 – 97 ©IEEE (.pdf)
  48. Yimai Peng, David Kyojin Choo, Sechang Oh, Inhee Lee, Taekwang Jang, Yejoong Kim, Jongyup Lim, David Blaauw, Dennis Sylvester, “An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 12, December 2019, pg. 3348-3361©IEEE (.pdf)
  49. Kyojin D. Choo, Li Su, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David Blaauw, “Energy-Efficient Motion-Triggered IoT CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 11, November 2019, pg. 2921-2931 ©IEEE (.pdf)
  50. Sechang Oh, Minchang Cho, Zhan Shi, Jongyup Lim , Yejoong Kim, Seokhyeon Jeong, Yu Chen, Rohit Rothe, David Blaauw, Hun-Seok Kim, Dennis Sylvester, “An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 11, November 2019, pg. 3005-3016 ©IEEE (.pdf)
  51. Paolo Bollella, Inhee Lee, David Blaauw, Evgeny Katz, “A Microelectronic Sensor Device Powered by a Small Implantable Biofuel Cell †,” ChemPhysChem, , Selected as a VIP article, Vol. 21, August 2019 pg. 120 –128 ©IEEE (.pdf)
  52. Ziyun Li, Jingcheng Wang, Dennis Sylvester, David Blaauw, Hun Seok Kim “A 1920 × 1080 25-Frames/s 2.4-TOPS/W Low-Power 6-D Vision Processor for Unified Optical Flow and Stereo Depth with Semi-Global Matching,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 54, No. 4, April 2019, pg. 1048-1058 ©IEEE (.pdf)
  53. Eunseong Moon, Inhee Lee, David Blaauw and Jamie D. Phillips, “High-Efficiency Photovoltaic Modules on a Chip for Millimeter-Scale Energy Harvesting,” Progress in Photovoltaics: Research and Applications, No. 27, February 2019, pg. 540–546 ©IEEE  (.pdf)
  54. Qing Dong, Zhehong Wang, Jongyup Lim, Yiqun Zhang, Mahmut E. Sinangil, Yi-Chun Shih, Yu-Der Chih, Jonathan Chang, David Blaauw, Dennis Sylvester, “A 1Mb 28nm 1T1MTJ STT-MRAM with Single-Cap Offset-Cancelled Sense Amplifier and In-situ Self-Write-Termination” IEEE Journal of Solid State Circuits (JSSC), 54, No. 1, January 2019, pg. 231-239©IEEE (.pdf)
  55. Ziyun Li, Jiang Xiang, Luyao Gong, David Blaauw, Chaitali Chakrabarti, Hun Seok Kim “Low Complexity, Hardware-Efficient Neighbor-Guided SGM Optical Flow for Low Power Mobile Vision Applications, IEEE Transactions on Circuits and Systems for Video Technology, July 2018 ©IEEE (.pdf)
  56. Pat Pannuto, Benjamin Kempke, Li-Xuan Chuo, David Blaauw, Prabal Dutta, “Harmonium: Ultra Wideband Pulse Generation with Bandstitched Recovery for Fast, Accurate, and Robust Indoor Localization,” ACM Transactions on Sensor Networks, Vol. 14, No. 2, June 2018 ©IEEE (.pdf)
  57. Yiqun Zhang, Li Xu, Qing Dong, Jingcheng Wang, Kaiyuan Yang, Supreet Jeloka, David Blaauw, Dennis Sylvester “Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor with In-Memory and Near-Memory Computing for IoT Security,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 53, No. 4, April 2018, pg. 995-1005 ©IEEE (.pdf)
  58. Qing Dong, Supreet Jeloka, Mehdi Saligane, Yejoong Kim, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Makoto Yasuda, David Blaauw, Dennis Sylvester “A 4+2T SRAM for Searching and In-Memory Computing with 0.3V VDDmin,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 53, No. 4, April 2018, pg. 1006-1015 ©IEEE (.pdf)
  59. Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang, Mehdi Saligane, Nathaniel Pinckney, Massimo Alioto, David Blaauw, Dennis Sylvester, “iRazor: Current-Based Error Detection and Correction for PVT Variation Tolerance in 40-nm ARM Cortex-R4 Processor,” IEEE Journal of Solid State Circuits (JSSC), Vo. 53, No. 2, February 2018, pg. 619-631 ©IEEE (.pdf)
  60. Taekwang Jang, Seokhyeon Jeong, Dongsuk Jeon, Kyojin Choo, Dennis Sylvester, David Blaauw, “A Noise Reconfigurable All Digital Phase Locked Loop using a Switched Capacitor based Frequency Locked Loop and a Noise Detector,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2017, 53, No. 1, January 2018, pg. 50-65 (.pdf)
  61. Xiao Wu, Kyojin Choo, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David Blaauw, “A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems,” IEEE Journal of Solid State Circuits (JSSC), Invited paper to the Special issue on ISSCC 2017, Vol. 52, No. 12, December 2017, pg. 3155-3167 ©IEEE (.pdf)
  62. Kaiyuan Yang, David Blaauw, Dennis Sylvester, “Hardware Designs for Security in Ultra-Low-Power IoT Systems – An Overview and Survey,” IEEE Micro on Ultra Low Power Processors, 37, No. 6, November 2017, pg. 72-89 ©IEEE (.pdf)
  63. Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin Kempke, Luyao Gong, Zhengya Zhang, Ron Dreslinski, David Blaauw, Hun Seok Kim, “A 1920 × 1080 30-frames/s 2.3 TOPS/W Stereo-Depth Processor for Energy-Efficient Autonomous Navigation of Micro Aerial Vehicles,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2017, 53, No. 1, September 2017, pg. 76-90 ©IEEE (.pdf)
  64. Eunseong Moon, David Blaauw, Jamie Phillips, “Infrared Energy Harvesting in mm-Scale GaAs Photovoltaics,” IEEE Transactions on Electron Devices (T-ED), 64, No. 11, September 2017, pg. 4554-4560 ©IEEE (.pdf)
  65. Eunseong Moon, David Blaauw, Jamie Phillips, “Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices,” IEEE Transactions on Electron Devices (T-ED), Vol. 64, No. 5, May 2017, pg. 2432-237 ©IEEE (.pdf)
  66. Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David Blaauw, Dennis Sylvester “Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 25, No. 3, March 2017, pgs. 907-918 ©IEEE (.pdf)
  67. Minseob Shim, Seokhyeon Jeong, Paul Myers, Suyoung Bang, Chulwoo Kim, Dennis Sylvester, David Blaauw, Wanyeong Jung, “Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator with Application in a 74.1dB SNDR, 20kS/s 15b SAR ADC,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2015, Vol. 52, No. 4, April 2017, pg. 1077-1090 ©IEEE (.pdf)
  68. Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang, Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “A 20pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2016, Vol. 52, No. 4, January 2017, pgs. 972-984 (.pdf)
  69. Inhee Lee, Dennis Sylvester, David Blaauw “A Subthreshold Voltage Reference with Scalable Output Voltage for Low-Power IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), 9, January 2017, pg. 1-7 ©IEEE (.pdf)
  70. Myungjoon Choi, Taekwang Jang, Junwong Jeong, Seokhyeong Jeong, David Blaauw, Dennis Sylvester, “A Resonant Current-Mode Wireless Power Receiver and Battery Charger With -32 dBm Sensitivity for Implantable Systems,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2016, Vol. 51, No. 51, December 2016, pg. 2880-2892©IEEE (.pdf)
  71. Mohit Shah, Sairam Arunachalam, Jingcheng Wang, David Blaauw, Dennis Sylvester, Hun-Seok Kim, Jae-sun Seo, Chaitali Chakrabarti, “A Fixed-Point Neural Network Architecture for Speech Applications on Resource Constrained Hardware,” Journal of Signal Processing, November 2016, pg. 1-15 ©IEEE (.pdf)
  72. Eunseong Moon, David Blaauw, Jamie Phillips, “Small-area Si Photovoltaics for Low-Flux Infrared Energy Harvesting,” IEEE Transactions on Electron Devices (T-ED), Vol. 64, No. 1, November 2016, pg. 15-20 ©IEEE (.pdf)
  73. Inhee Lee, Dennis Sylvester, David Blaauw “A Subthreshold Voltage Reference with Scalable Output Voltage for Low-Power IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), No. 9, January 2017, pgs. 1-7 ©IEEE (.pdf)
  74. Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Battery Voltage Supervisors for Miniature IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 11, November 2016, pg. 2743-2756 ©IEEE (.pdf)
  75. Nathaniel Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ron Dres-linski, Trevor Mudge, Dennis Sylvester, David Blaauw, “Impact of FinFET on Near-Threshold Voltage Scalability” SI: Computing in the Dark Silicon Era issue of IEEE Design & Test, Vol. 34, No. 2, November 2016, pgs. 31-38 ©IEEE (.pdf)
  76. Yao Shi, Myungjoon Choi, Ziyun Li, Zhihong Luo, Gyouho Kim, Zhiyoong Foo, Hun-Seok Kim, David Wentzloff, David Blaauw, “A 10mm³ Inductive-Coupling Near-Field Radio for Syringe-Implantable Smart Sensor Nodes,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 11, September 2016, pgs. 2570-2583 ©IEEE (.pdf)
  77. Yajing Chen, Nikolaos Chiotellis, Li-Xuan Chuo, Carl Pfeiffer, Yao Shi, Ronald Dreslinski, Anthony Grbic, Trevor Mudge, David Wentzloff, David Blaauw, Hun Seok Kim, “Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes,” JSAC – IEEE Journal on Selected Areas – Series on Green Communications and Networking, No. 99, September 2016 ©IEEE (.pdf)
  78. Myungjoon Choi, Taekwang Jang, Suyoung Bang, Yao Shi, David Blaauw, Dennis Sylvester, “A 110nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/ºC Temperature Stability for System-on-Chip Designs,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 9, September 2016, pgs. 2106-2118 ©IEEE (.pdf)
  79. Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Ultra-Low Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 104, No. 8, August 2016, pgs. 1529-1546 ©IEEE (.pdf)
  80. Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, ZhiYoong Foo, Benjamin Kempke, Gyouho Kim, Ronald G. Dreslinski, David Blaauw, and Prabal Dutta, “MBus: A System Integration Bus for the Modular Microscale Computing Class,” May/June 2016 Top Picks Special Issue of IEEE Micro, Vol. 36, No. 3, May-June 2016, pgs. 60-70 ©IEEE (.pdf)
  81. Supreet Jeloka, Naveen Akesh, Dennis Sylvester, and David Blaauw, “A 28nm configurable memory (TCAM / BCAM / SRAM) using push-rule 6T bit cell enabling logic-in-memory,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special issue on VLSI, Vol. 51, No. 4, April 2016, pgs. 1009-1021 ©IEEE (.pdf)
  82. Kaiyuan Yang, David Blaauw, Dennis Sylvester, “An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 4, April 2016, pgs. 1022-1031 ©IEEE (.pdf)
  83. Suyoung Bang, Jae-sun, Seo, Leland Chang, David Blaauw, Dennis Sylvester, “A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 4, April 2016, pgs. 919-929 ©IEEE (.pdf)
  84. Inhee Lee, Dennis Sylvester, and David Blaauw, “A Constant Energy-per-Cycle Ring Oscilla-tor over Wide Frequency Range for Wireless Sensor Nodes,” IEEE Journal of Solid-State Cir-cuits (JSSC), Vol. 51, No. 3, March 2016, pgs. 697-711 ©IEEE (.pdf)
  85. Dongmin Yoon, Taekwang Jang, Dennis Sylvester, David Blaauw “A 5.58nW Crystal Oscilla-tor Using Pulsed Driver for Real-Time Clocks,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 2, February 2016, pgs. 509-522 ©IEEE (.pdf)
  86. Fabio Frustaci, David Blaauw, Dennis Sylvester, Massimo Alioto, “Approximate SRAMs with Dynamic Energy-Quality Management TVLSI-00402-2015.R1,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 55, January 2016, No 90, pgs. 1-14 ©IEEE (.pdf)
  87. Suyoung Bang, David Blaauw, and Dennis Sylvester, “A Successive-Approximation Switched-Capacitor DC-DC Converter with Resolution of VIN/2N for a Wide Range of Input and Output Voltages,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 2, January 2016, pgs. 543-556 ©IEEE (.pdf)
  88. Seok Hyeon Jeong, Inhee Lee, David Blaauw, Dennis Sylvester, “A 5.8nW CMOS Wake-up Timer for Ultra-Low Power Wireless Applications” IEEE Journal of Solid-State Cir-cuits(JSSC), Vol. 50, No. 8, pgs. 1754-1763, August 2015 ©IEEE (.pdf)
  89. Alan S. Teran, Joeson Wong, Wootaek Lim, Gyouho Kim, Yoonmyoung Lee, David Blaauw, Jamie D. Phillips, “AlGaAs Photovoltaics for Indoor Energy Harvesting in mm-Scale Wireless Sensor Nodes,” IEEE Transactions on Electron Devices (T-ED), Vol. 62, No. 7, June 2015, pgs. 2170-2175 ©IEEE (.pdf)
  90. Sechang Oh, Yoonmyung Lee, Yejoong Kim, Jingcheng Wang, Zhiyoong Foo, Wanyeong Jung, Ziyun Li, David Blaauw, Dennis Sylvester “A Dual-Slope Capacitance-to-Digital Con-verter Integrated in an Implantable Pressure-Sensing System,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special issue on ESSCIRC, Vol. 50, No. 7, pgs., July 2015 ©IEEE (.pdf)
  91. Fabio Frustaci, Mahmood Khayatzadeh, David Blaauw, Dennis Sylvester, Massimo Alioto, “SRAM for Error-Tolerant Applications with Dynamic Energy-Quality Management in 28nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 50, No. 5, May 2015 ©IEEE (.pdf)
  92. Inhee Lee, Gyouho Kim, Suyoung Bang, Adriane Wolfe, Richard Bell, Seokhyeon Jeong, Yejoong Kim, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David Blaauw, Yoonmyung Lee, “System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 62, No. 4, April 2015, pgs.1126-1135 ©IEEE (.pdf)
  93. Dong-Woo Jee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim, “Digitally Controlled Leak-age-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 50, No. 5, May 2015, pgs. 1-12 ©IEEE (.pdf)
  94. Yen-Po Chen, Dongsuk Jeon, Yoonmyung Lee, Yejoong Kim, Zhiyoong Foo, Inhee Lee, Nicholas B. Langhals, Grant Kruger, Hakan Oral, Omer Berenfeld, Zhengya Zhang, David Blaauw, and Dennis Sylvester, “An Injectable 64nW ECG Mixed-Signal SoC in 65nm for Arrhythmia Monitoring,” IEEE Journal of Solid State Circuits (JSSC), Invited paper to the Special issue on ISSCC, Vol. 50, No. 1, January 2015, pgs. 375-390 ©IEEE (.pdf)
  95. Wangyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Zhiyoong Foo, Gyouho Kim, Yiquan Zhang, Dennis Sylvester, David Blaauw, “An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler,” IEEE Journal of Solid-State Circuits(JSSC), Vol. 49, No. 12, December 2014, pgs. 2800-2811 ©IEEE (.pdf)
  96. Laura Fick, David Fick, Massimo Alioto, David Blaauw, Dennis Sylvester, “A 346μm2 VCO-based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28nm CMOS,” IEEE journal of Solid-State Circuits (JSSC), Invited paper to the Special issue on CICC, Vol. 49, No. 11, November 2014, pgs. 2462-2473 ©IEEE (.pdf)
  97. Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, and David Blaauw, “Circuit Techniques for Miniaturized Biomedical Sensors,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 (.pdf)
  98. Seokhyeon Jeong, Jae-Yoon Sim, David Blaauw, Dennis Sylvester, “A Fully-Integrated 71nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 49, No. 8, August 2014, pgs. 1682-1693 ©IEEE (.pdf)
  99. Chia-Hsiang Chen, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Design and Evaluation of Confidence-Driven Error-Resilient Systems,” IEEE Very Large Scale Integration Systems (T-VLSI), Vol. 22, No. 8, August 2014, pgs. 1727-1737 ©IEEE
  100. Dongsuk Jeon, Michael Henry, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, Dennis Sylvester, “An Energy Efficient Full-Frame Feature Extraction Accelerator with Shift-Latch FIFO in 28nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Vol. PP, No. 99, March 2014, pgs. 1-14 ©IEEE (.pdf)
  101. Youn Sung Park, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Low-Power High-Throughput LDPC Decoder using Non-Refresh Embedded DRAM,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 49, No. 3, March 2014, pgs 783-794 ©IEEE (.pdf)
  102. Yoonmyung Lee, Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Achieving Ultra-low Standby Power with an Efficient SCCMOS Bias Generator,” IEEE Transactions on Circuits and Systems II (TCAS II), Vol. 60, No. 12, December 2013, pgs. 842-851 ©IEEE (.pdf)
  103. Mohammad Hassan Ghaed, Gregory Chen, Raziul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, Yoonmyung Lee, Inhee Lee, David Fick, Daeyeon Kim, Mingoo Seok, Kensall Wise, David Blaauw, Dennis Sylvester, “Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 60, No. 12, December 2013, pgs. 3152-3162 ©IEEE (.pdf)
  104. Nathaniel Pinckney, Ronald Dreslinski, Korey Sewell, David Fick, Trevor Mudge, Dennis Sylvester, David Blaauw, “Limits of Parallelism and Boosting in Dim Silicon,” IEEE Micro, Vol. 33, No. 5, October 2013, pgs. 30-37 ©IEEE (.pdf)
  105. Yoonmyung Lee, Bharan Ghiridar, Zhiyoong Foo, Dennis Sylvester, David Blaauw, “A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 48, No. 10, October 2013, pgs. 2511-2521 ©IEEE (.pdf)
  106. Yoonmyung Lee, Daeyeon Kim, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, David Blaauw, Dennis Sylvester, “Low Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs),” IEEE Transactions on Very Lare Scale Integration Systems (T-VLSI), Vol. 21, No. 9, September 2013, pgs. 1632-1643 ©IEEE (.pdf)
  107. ZhiYoong Foo, David Devescery, Mohammad Ghaed, Inhee Lee, Abishek Madhavan, Youn Sung Park, Arvind Rao, Zachary Renner, Nathan Roberts, Aaron Schulman, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, Thomas Schmid, Prabal Dutta, Peter Chen, David Blaauw, “A Low-cost Audio Computer for Information Dissemination among Illiterate People Groups,” IEEE Transactions on Circuits and Systems (TCAS), Vol. 60, No. 8, August 2013, pgs. 2039-2050 ©IEEE (.pdf)
  108. Chia-Hsiang Chen, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Design and Evaluation of Confidence-Driven Error-Resilient Systems,” IEEE Transactions on Very Lare Scale Integration Systems (T-VLSI), August 2013, pgs. 1-11 ©IEEE (.pdf)
  109. Ronald Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 64-Core, 3D Stacked, Near-Threshold System”, IEEE Micro, Vol. 33, No. 2, March/April 2013, pgs. 8-16 ©IEEE (.pdf)
  110. Cheng Zhuo, David Blaauw, Dennis Sylvester, “A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 32, No. 4, April 2013, pgs. 630-643 ©IEEE (.pdf)
  111. Yoonmyung Lee, Suyoung Bang, Inhee Lee, Yejoong Kim, Gyouho Kim, Prabal Dutta, Dennis Sylvester, David Blaauw, “A Modular 1mm³ Die-Stacked Sensing Platform with Low Power I²C Inter-die Communication and Multi-Modal Energy Harvesting,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No.1, January 2013, pgs. 229-243 ©IEEE (.pdf)
  112. Matthew Fojtik, David Fick, Yejonng Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester, “Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45nm CMOS Using Architecturally Independent Error Detection and Correction,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No. 1, January 2013, pgs. 66-81 ©IEEE (.pdf)
  113. David Fick, Ronald Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, David Blaauw, Dennis Sylvester, “Centip3De: A Cluster-Based NTC Architecture with 64 ARM Cortex-M3 Cores in 3D Stacked 130nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No. 1, January 2013, pgs. 104-117 ©IEEE (.pdf)
  114. Mingoo Seok, David Blaauw, Dennis Sylvester, Gyouho Kim, “A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5V,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 47, No. 10, October 2012, pgs. 2534-2545 ©IEEE (.pdf)
  115. Korey Sewell, Ronald Dreslinski, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas Wenisch, Dennis Sylvester, David Blaauw, Trevor Mudge, “Swizzle-Switch Networks for Many-Core Systems,” IEEE Emerging and Selected Topics in Circuits and Systems (JETCAS), Vol. 2, No. 2, June 2012, pgs. 278-294 ©IEEE (.pdf)
  116. Andrew DeOrior, David Fick, Valeria Bertacco, Dennis Sylvester, David Blaauw, Jin Hu, Gregory Chen, “A Reliable Routing Architecture and Algorithm for NoCs,”(TCAD-VICIS), Vol. 31, No. 5, May 2012, pgs. 726 – 739 ©IEEE (.pdf)
  117. Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, and Dennis Sylvester, “A Super-Pipelined Energy Efficient Subthreshold 240MS/s FFT Core in 65nm, (JSCC), Vol. 47, No.1, January 2012, pgs. 23 – 34 ©IEEE (.pdf)
  118. Jae-Sun Seo, David Blaauw, Dennis Sylvester, “Crosstalk-Aware PWM-Based On-Chip Links With Self-Calibration in 65 nm CMOS,” IEE Journal of Solid-State Circuits (T-SSC) Vol. 46, No. 9, September 2011, pgs. 2041 – 2052 ©IEEE (.pdf)
  119. Cheng Zhuo, Kaviraj Chopra, Dennis Sylvester, David Blaauw, “Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis,” IEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 30, No. 9, September 2011, pgs. 1321 – 1334 ©IEEE (.pdf)
  120. Prashant Singh, Eric Karl, Dennis Sylvester, David Blaauw, “Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), August 2011 ©IEEE (.pdf)
  121. Vineeth Veetil, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 30, No. 6, June 2011, pgs. 852 – 865 ©IEEE (.pdf)
  122. Mingoo Seok, Gregory Chen, Scott Hanson, Michael Wieckowski, David Blaauw, Dennis Sylvester, “CAS-FEST 2010: Mitigating Variability in Near-Threshold Computing,” IEEE Transactions on Emerging and Selected Topics in Circuits and Systems (T-ESTCS), Vol. 1, No. 1, March 2011, pgs. 42 – 49 ©IEEE (.pdf)
  123. Jae-Sun Seo, Dennis Sylvester, David Blaauw, Himanshu Kaul, Ram Krishnamurthy, “A Robust Edge Encoding Techniuqe for Energy-Efficient Multi-Cycle Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 19, No. 2, February 2011, pgs. 264-273 ©IEEE (.pdf)
  124. Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Sleep Mode Analysis and Optimization with Minimal-Sized Power Gating Switch for Ultra-Low Vdd Operation,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI) ©IEEE (.pdf)
  125. David Bull, Shidhartha Das, Karthik Shivashankar, Ganesh Dasika, Krisztian Flautner, David Blaauw, “A Power-efficient 32bit ARM Processor using Timing-error Detection and Correction for Transient-error Tolerance and Adaptation to PVT Variation,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 46, No. 1, January 2011, pgs. 18 – 31 ©IEEE (.pdf)
  126. Gregory Chen, Dennis Sylvester, David Blaauw, Trevor Mudge, “Yield-driven Near-threshold SRAM Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 18, No. 11, November 2010, pgs. 1590 – 1598 ©IEEE (.pdf)
  127. Vivek Joshi, Brian Cline, Dennis Sylvester, David Blaauw, Kanak Agarwal, “Mechanical Stress Aware Optimization for Leakage Power Reduction,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 29, No. 5, May 2010, pgs. 722 – 736 ©IEEE (.pdf)
  128. Scott Hanson, ZhiYoong Foo, David Blaauw, Dennis Sylvester, “A 0.5V Sub-Microwatt CMOS Image Sensor with Pulse-Width Modulation Read-Out,” IEEE Journal of Solid-State Circuits (JSSC) to the Special Issue on VLSI Circuits, Vol. 45, No. 4, April 2010, pgs. 759 – 767 ©IEEE (.pdf)
  129. Carlos Tokunaga and David Blaauw, “Securing encryption systems with a switched capacitor current equalizer,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 45, No. 1, January 2010, pgs. 23 – 31 ©IEEE (.pdf)
  130. Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Victim-Alignment in Crosstalk-Aware Timing Analysis,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 29, No. 2, pgs. 261 – 274 ©IEEE (.pdf)
  131. Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, David Blaauw, “Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 44, No. 1, January 2010, pgs. 32 – 48 ©IEEE (.pdf)
  132. Rajeev Rao, Vivek Joshi, David Blaauw, Dennis Sylvester, “Circuit Optimization Techniques to Mitigate the Effects of Soft Errors in Combinational Logic,” ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 15, Issue 1, December 2009, Article 5 ©IEEE (.pdf)
  133. Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Ann Reeves, Michael Minuth, Ryan Helfand, Todd Austin, Dennis Sylvester, David Blaauw, “Energy Efficient Subthreshold Processor Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 17, No. 8, August 2009, pgs. 1127 – 1137 ©IEEE (.pdf)
  134. Scott Hanson, Mingoo Seok, Yu-Shiang Lin, Zhiyoong Foo, Daeyon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “A Low-Voltage Processor for Sensing Applications with Picowatt Standby Mode,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI Circuits, Vol. 44, No. 4, April 2009, pgs. 1145 – 1155 ©IEEE (.pdf)
  135. Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “Alignment Independent Chip-to-Chip Communication for Sensor Applications using Passive Capacitive Signaling,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI Circuits, Vol. 44, No. 4, April 2009, pgs. 1156 – 1166 ©IEEE (.pdf)
  136. Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, David Blaauw, “Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance,” IEEE Journal of Solid-State Circuits (JSSC), invited paper ©IEEE (.pdf)
  137. Bo Zhai, Scott Hanson, David Blaauw, Dennis Sylvester, “A Variation-Tolerant Sub-200mV 6-T Subthreshold SRAM,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on the 2008 Compound Semi-Conductor Integrated Circuit Symposium (CSICS’08), Vol. 43, No. 10, October 2008, pgs. 2338 – 2348 ©IEEE (.pdf)
  138. Fabio Albano, Yu-Shiang Lin, David Blaauw, Dennis Sylvester, Kensall Wise, Ann Marie Sastry, “A fully integrated microbattery for an implantable microelectromechanical system,” Journal of Power Sources, doi:10.1016/j.jpowsour.2008.08.061, August 2008, online publication only ©IEEE (.pdf)
  139. Eric Karl, David Blaauw, Dennis Sylvester, Trevor Mudge, “Multi-Mechanism Reliability Modeling and Management in Dynamic Systems,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 16, No. 4, April 2008, pgs. 476-487 ©IEEE (.pdf)
  140. Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd Austin, Dennis Sylvester, David Blaauw, “Exploring Variability and Performance in a Sub-200 mV Processor”, IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 4, April 2008, pgs. 881 – 891 ©IEEE (.pdf)
  141. Sarvesh Kulkarni, Dennis Sylvester, David Blaauw, “Design-Time Optimization of Post-Silicon Tuned Circuits using Adaptive Body Bias,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 27, No. 3, March 2008, pgs. 481-494 ©IEEE (.pdf)
  142. Bo Zhai, Scott Hanson, David Blaauw, Dennis Sylvester, “A Variation-Tolerant Sub-200mV 6-T Subthreshold SRAM,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 10, October 2008, 2338 – 2348 ©IEEE (.pdf)
  143. Carlos Tokunaga, David Blaauw, Trevor Mudge, “True Random Number Generator with a Metastability-based Quality Control,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 1, January 2008, pgs. 78 – 85. ©IEEE (.pdf)
  144. Scott Hanson, Mingoo Seok, Dennis Sylvester, David Blaauw, “Nanometer Device Scaling in Subthreshold Logic and SRAM,” Special Issue of IEEE Transactions on Electron Devices (T-ED), Vol. 55, Issue 1, January 2008, pgs. 175 – 185 ©IEEE (.pdf)
  145. Prashant Singh, Jae-Sun Seo, David Blaauw, Dennis Sylvester, “Self-timed Regenerators for High-speed and Low-power On-chip Global Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 16, No. 6, June 2008, pgs. 673-677 ©IEEE (.pdf)
  146. Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David Blaauw, “A Novel Approach to Perform Gate-level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance,”Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 27, No. 2, February 2008 ©IEEE (.pdf)
  147. Rajeev Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 26, Issue 3, March 2007, pgs. 468-479 ©IEEE (.pdf)
  148. Dongwoo Lee, David Blaauw, Dennis Sylvester, “Runtime Leakage Minimization through Probability-Aware Optimization,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 14, No. 10, October 2006, pg. 1075-1088 ©IEEE (.pdf)
  149. Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David Blaauw, “Statistical Interconnect Metrics for Physical-Design Optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, Issue 7, July 2006, pg. 1273-1288 ©IEEE (.pdf)
  150. Scott Hanson, Bo Zhai, Kerry Bernstein, David Blaauw, Andres Bryant, Leland Chang, Koushik Das, Wilfried Haensch, Edward Nowak, Dennis Sylvester, “Ultra-Low Voltage Minimum Energy CMOS,” IBM Journal of Research and Development, Vol. 50, No. 4/5, July/September 2006, pg. 469-490 ©IEEE (.pdf)
  151. Shidhartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David Blaauw, Todd Austin, Krisztián Flautner, Trevor Mudge, “A Self-Tuning DVS Processor using Delay-Error Detection and Correction,” IEEE Journal of Solid-State Circuits (JSSC), April 2006, pg. 792-804, invited paper ©IEEE (.pdf)
  152. Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester, “Analytical Yield Prediction Considering Leakage/Performance Correlation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, Issue 9, September 2006, pg. 1685-1695 ©IEEE (.pdf)
  153. Kanak Agarwal, Dennis Sylvester, David Blaauw, “Modeling and Analysis of Crosstalk Noise in Coupled RLC Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, No. 5, May 2006, pg. 892-901, short paper ©IEEE (.pdf)
  154. Rajeev Rao, Harmander Deogun, David Blaauw, Dennis Sylvester, “Bus Encoding for Total Power Reduction using a Leakage-Aware Buffer Configuration,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 13, Issue 12, Dec. 2005, pg. 1376-1383 . ©IEEE (.pdf)
  155. Sarvesh Bhardwaj, Sarma Vrudhula, David Blaauw, “Probability Distribution of Signal Arrival Times Using Bayesian Networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 24, Issue 11, Nov. 2005, pg. 1784-1794. ©IEEE (.pdf)
  156. Bo Zhai, David Blaauw, Dennis Sylvester, Krisztian Flautner, “The Limit of Dynamic Voltage Scaling (DVS) and Extended DVS,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 13, Issue 11, Nov. 2005 pg. 1239-1252. ©IEEE (.pdf)
  157. Nam Sung Kim, David Blaauw, Trevor Mudge, “Quantitative Analysis and Optimization Techniques for On-Chip Cache Leakage Power,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), October 2005, pg. 1147-1156 ©IEEE (.pdf)
  158. Dongwoo Lee, David Blaauw, Dennis Sylvester, “Static Leakage Reduction through Simultaneous Vt/Tox and State Assignment,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol 24, No. 7, July 2005, Pg. 1014-1029. ©IEEE (.pdf)
  159. Murat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim Hajj, “Post-Route Gate Sizing for Crosstalk Noise Reduction,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 12, December 2004, pg 1670. Short paper ©IEEE (.pdf)
  160. Himanshu Kaul, Dennis Sylvester, David Blaauw, “Performance Optimization of Critical Nets through Active Shielding,” IEEE Transactions on Circuits and Systems I: Analog and Digital Signal Processing (T-CAS), December 2004, pg. 2417-2435. ©IEEE (.pdf)
  161. Dan Ernst, Shidhartha Das, Seokwoo Lee, David Blaauw, Todd Austin, Trevor Mudge, Nam Sung Kim, Krisztian Flautner, “Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation”, IEEE, Vol. 24, No. 6, November-December 2004, pg. 10-20. ©IEEE (.pdf)
  162. Mini Nanua, David Blaauw, “Noise Analysis Methodology for partially depleted SOI Circuits,” IEEE Journal of Solid State Circuits (JSSC), Vol. 39, No. 9, September 2004, pg. 1581-1585. ©IEEE (.pdf)
  163. Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Simple Metric for Slew Rate of RC Circuits Based on Two Circuit Moments,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 9, September 2004, pg. 1346-1354. Short paper ©IEEE (.pdf)
  164. Aseem Agarwal, Vladimir Zolotov, David Blaauw, “Statistical Clock Skew Analysis Considering Intradie-Process Variations,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 8, August 2004, pg. 1231-1242. ©IEEE (.pdf)
  165. Todd Austin, David Blaauw, Scott Mahlke, Trevor Mudge, Chaitali Chakrabarti, Wayne Wolf, “Mobile Supercomputers,” Communications of the ACM, Vol. 37, No. 5, May 2004, pg. 81-83. ©IEEE (.pdf)
  166. Nam Sung Kim, Krisztian Flautner, David Blaauw and Trevor Mudge, “Circuit and Microarchitectural Techniques Reducing Cache Leakage Power,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 167 – 184. ©IEEE (.pdf)
  167. Dongwoo Lee, David Blaauw, Dennis Sylvester, “Gate Oxide Leakage Current Analysis and Reduction for VLSI Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 155 – 166. ©IEEE (.pdf)
  168. Rajeev Rao, Ashish Srivastava, David Blaauw, Dennis Sylvester, “Statistical Analysis of Subthreshold Leakage Current for VLSI Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 131 – 139. ©IEEE (.pdf)
  169. Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Library Compatible Driver Model for On-Chip RLC Transmission Lines,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Volume 23, Issue 1, Jan. 2004, pg. 128 – 136. Short paper ©IEEE (.pdf)
  170. Nam Sung Kim, Todd Austin, David Blaauw, Trevor Mudge, Krisztian Flautner, Jie Hu, Mary Jane Irwin, Mahmut Kandemir, Vijaykrishnan Narayanan, “Leakage Current: Moore’s Law Meets Static Power,” IEEE Transactions on Computers, Vol. 36, No. 12, December 2003, pg. 68-75 ©IEEE (.pdf)
  171. Nam Sung Kim, David Blaauw, Trevor Mudge, “Leakage Power Optimization Techniques for Ultra Deep Submicron Multi-Levels Caches,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), November 2003, pgs. 627-632. ©IEEE (.pdf)
  172. Aseem Agarwal, Vladimir Zolotov, David Blaauw, “Statistical Timing Analysis Using Bounds and Selective Enumeration,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 9, September 2003, pg. 1243-1260 ©IEEE (.pdf)
  173. Sarma Vrudhula, David Blaauw, Supamas Sirichotiyakul, “Probabilistic Analysis of Interconnect Coupling Noise,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 9, September 2003, pg. 1188-1203 ©IEEE (.pdf)
  174. David Blaauw, Luciano Lavagno, “Guest editorial,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 8, August 2003, pg. 962-963, guest editorial ©IEEE (.pdf)
  175. Kanak Agarwal, Dennis Sylvester, and David Blaauw, “An Effective Capacitance Based Driver Output Model for On-Chip RLC Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Proceedings June 2003, pg. 376 – 381, short paper ©IEEE (.pdf)
  176. Rajendran Panda, Savithri Sundareswaran, David Blaauw, “Impact of Low-Impedance Substrate on Power Supply Integrity,” IEEE Design and Test of Computers (D&T), Vol. 20, No. 3, May-June 2003, pg. 16-22 ©IEEE (.pdf)
  177. Li Ding, David Blaauw, Pinaki Mazumder, “Accurate Crosstalk Noise Modeling for Early Signal Integrity,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 5, May 2003, pg. 627-634, short paper ©IEEE (.pdf)
  178. Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, “Cross-Coupled Noise Propagation in VLSI Designs,” International Journal of Analog Integrated Circuits and Signal Processing, Kluwer press, Vol. 35, No. 2-3, I-2, May 2003, pg. 133-142. ©IEEE (.pdf)
  179. David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, “Driver Modeling and Alignment for Worst-Case Delay Noise,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 11, No. 2, April 2003, pg. 157-166 ©IEEE (.pdf)
  180. Murat R. Becer, David Blaauw, Rajendran Panda, Ibrahim N. Hajj, “Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 3, March 2003, pg. 337-345, short paper (.pdf)
  181. Haitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin Sapatnekar, “Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol 22, No. 1, January 2003, pg. 49-66 (.pdf)
  182. David Blaauw, Chanhee Oh, Vladimir Zolotov, Arubindo Dasgupta, “Static Electromigration Analysis for On-Chip Signal Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 1, January 2003, pg. 39-48 ©IEEE (.pdf)
  183. Kaushik Gala, David Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi, “Inductance Model and Analysis Methodology for High-Speed On-Chip Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 10, No. 6, December 2002, pg. 730-745 ©IEEE (.pdf)
  184. David Blaauw, Steve Martin, Krisztian Flautner, Trevor Mudge, “Leakage Current Reduction in VLSI Systems,” Journal of Circuits, Systems, and Computers, Vol.11, No.6, December 2002, pg. 621-635 ©IEEE
  185. David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, “Slope Propagation in Static Timing Analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 21, No. 10, October 2002, pg. 1180-1195 ©IEEE (.pdf)
  186. David Blaauw, Luciano Lavagno, “Hot Topics at This Year’s Design Automation Conference,” IEEE Design and Test of Computers (D&T), Vol 19, No. 4, July-August 2002, pg. 72-73, guest editorial ©IEEE (.pdf)
  187. Alexey Glebov, Sergey Gavrilov, Vladimir Zolotov, David Blaauw, “False-Noise Analysis Using Logic Implications,” ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 7, No. 3, July 2002, pg. 1-25 ©IEEE (.pdf)
  188. Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David Blaauw, “Duet: an Accurate Leakage Estimation and Optimization Tool for Dual-Vt Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 10, No. 2, April 2002, pg. 79-90 ©IEEE (.pdf)
  189. Min Zhao, Rajendran Panda, Sachin Sapatnekar, David Blaauw, “Hierarchical Analysis of Power Distribution Networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 21, No. 2, February 2002, pg. 159-168 ©IEEE (.pdf)
  190. David Blaauw, Thaddeus Gabara, “Guest Editorial Low Power Electronics and Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 9, No. 1, February 2001, pg. 1-2, guest editorial ©IEEE (.pdf)
  191. Larry Jones, David Blaauw, “A Cache-based Method for Accelerating Switch-Level Simulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 13, No. 2, February 1994, pg. 211-218 ©IEEE (.pdf)
  192. Daniel Saab, Robert Mueller-Thuns, David Blaauw, Jacob Abraham, Joe Rahmeh, “Hierarchical Multi-level Fault Simulation of Large Systems,” JETTA: Journal of Electric Testing: Theory and Applications, Vol. 1, No. 2, March 1990, pg. 139-149 ©IEEE (.pdf)

Invited Articles

  1. Li Xu, Jeongsup Lee, Mehdi Saligane, David Blaauw, Dennis Sylvester, “Design Techniques of Integrated Power Management Circuits for Low Power Edge Devices,” IEEE Custom Integrated Circuits Conference (CICC), April 2021©IEEE
  2. Sechang Oh, Minchang Cho, Xiao Wu, Yejoong Kim, Li-Xuan Chuo, Wootaek Lim, Pat Pannuto, Suyoung Bang, Kaiyuan Yang, Hun-Seok Kim, Dennis Sylvester, David Blaauw, “IoT2 — the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2019 ©IEEE (.pdf)
  3. David Blaauw, “Unlocking New IoT Application Domains Through Ultra-Low Power mm-Scale Sensor Node Design,” Keynote Address at ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2018 ©IEEE (.pdf)
  4. Taekwang Jang, Gyouho Kim, Benjamin Kempke, Michael Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David Wentzloff, David Blaauw, “Circuit and System Designs of Ultra-low Power Sensor nodes with Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II—Data Communication, Energy Harvesting, Power Management and Digital Circuits,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 64, No. 9, September 2017, pgs. 2250-2262 ©IEEE (.pdf)
  5. Taekwang Jang, Gyouho Kim, Benjamin Kempke, Michael Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David Wentzloff, David Blaauw, “Circuit and System Designs of Ultra-low Power Sensor Nodes with illustration in a miniaturized GNSS Logger for Position Tracking: Part I—Analog Circuit Techniques,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 64, No. 9, September 2017, pgs. 2237-2249 ©IEEE (.pdf)
  6. Wanyeong Jung, Dennis Sylvester, David Blaauw, “Low-Power Switched-Capacitor Converter Techniques for Small IoT Systems,” European Conference on Circuit Theory and Design (ECCTD), September 2017 ©IEEE (.pdf)
  7. Taekwang Jang, Myungjoon Choi, Yao Shi, Inhee Lee, Dennis Sylvester and David Blaauw, “Millimeter-Scale Computing Platform for Next Generation of Internet of Things,” IEEE International Conference on RFID (RFID), May 2016 ©IEEE (.pdf)
  8. Taekwang Jang, Seokhyeon Jeong, Myungjoon Choi, Wanyeong Jung, Gyouho Kim, Yen-Po Chen, Yejoong Kim, Wootaek Lim, Dennis Sylvester, David Blaauw, “Key Building Blocks and Integration Strategy of a Miniaturized Wireless Sensor Node,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2015 ©IEEE (.pdf)
  9. Nathaniel Pinckney, David Blaauw, Dennis Sylvester, “Low Power Near-Threshold Design,” IEEE Solid-State Circuits Magazine, June 2015 ©IEEE (.pdf)
  10. Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, and David Blaauw, “Circuit Techniques for Miniaturized Biomedical Sensors,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 ©IEEE (.pdf)
  11. David Blaauw, Dennis Sylvester, Prabal Dutta, Yoonmyung Lee, Inhee Lee, Sechang Bang, Yejoong Kim, Gyouho Kim, Pat Pannuto, Ye-Shang Kuo, Dongmin Yoon, Wanyeong Jung, Zhi Yoong Foo, Yen-Po Chen, Seok Hyeon Jeong, Myungjoon Choi, “IoT Design Space Challenges: Circuits and Systems” 2014 IEEE Symposium on VLSI Technology, June 2014 ©IEEE (.pdf)
  12. Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Circuits for Ultra-Low Power Millimeter-Scale Sensor Nodes,” 2012 Asilomar Conference on Signals, Systems and Computers (Asilomar), November 2012 ©IEEE (.pdf)
  13. David Blaauw, Dennis Sylvester, Yoonmyung Lee, Inhee Lee, Suyoung Bang, Yejoong Kim, Gyouho Kim, Hassan Ghaed , “From Digital Processors to Analog Building Blocks: Enabling New Applications through Ultra-Low Voltage Design,” Invited paper to the IEEE Subthreshold Microelectronics Conference (SubVt), Plenary Keynote, October 2012 ©IEEE (.pdf)
  14. Nathaniel Pinckney, Korey Sewell, Ronald Dreslinski, Dave Fick, David Blaauw, Dennis Sylvester, Trevor Mudge, “Assessing the Performance Limits of Parallelized Near-Threshold Computing,” ACM/IEEE Design Automation Conference (DAC), June 2012 ©IEEE (.pdf)
  15. Yoonmyung Lee, YeJoong Kim, Dongmin Yoon, David Blaauw, Dennis Sylvester, “Circuit and System Design Guidelines for Ultra-Low Power Sensor Nodes,” ACM/IEEE Design Automation conference (DAC), June 2012
  16. Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Synchronization of Ultra-Low Power Wireless Sensor Nodes”, IEEE Internatioal Midwest Symposium on Circuits and Systems (MWSCAS), August 2011 ©IEEE (.pdf)
  17. Gregory Chen, Scott Hanson, David Blaauw, Dennis Sylvester, “Circuit Design Advances for Wireless Sensing Applications,” Proceedings of the IEEE, Special Issue on Wireless Sensor Networks, Vol. 98, No. 11, November 2010, pg. 1808 – 1827 ©IEEE (.pdf)
  18. Prashant Singh, Dennis Sylvester, David Blaauw, “Adaptive Sensing and Design for Reliability,” IEEE International Reliability Physics Symposium, May 2010 ©IEEE (.pdf)
  19. Ronald G. Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvester, Trevor Mudge, “Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits,” Proceedings of the IEEE, Special Issue on Ultra-Low Power Circuit Technology, Vol. 98, No. 2, February 2010, pg. 253 – 266 ©IEEE (.pdf)
  20. Prashant Singh, Cheng Zhou, Eric Karl, David Blaauw, Dennis Sylvester, “Sensor Driven Reliability and Wearout Management,” IEEE Design and Test of Computers (D&T), Vol. 26, No. 6, November/December 2009, pg. 40 – 49 ©IEEE (.pdf)
  21. David Blaauw, Shidhartha Das, “CPU, Heal Thyself,” IEEE Spectrum, August 2009 ©IEEE (.pdf)
  22. Shidhartha Das, David Blaauw, David Bull, Krisztian Flautner, Rob Aitken, “Addressing Design Margins through Error-tolerant Circuits,” ACM/IEEE Design Automation Conference (DAC), July 2009 ©IEEE (.pdf) 
  23. Shidhartha Das, David Blaauw, “Adaptive Design for Nanometer Technology,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2009 ©IEEE (.pdf)
  24. Dennis Sylvester, Scott Hanson, Mingoo Seok, Yu-Shiang Lin, David Blaauw, “Designing Robust Ultra-Low Power Circuits,” International Electron Devices Meeting (IEDM), December 2008 ©IEEE (.pdf)
  25. David Blaauw, Kaviraj Chopra, Ashish Srivastava, Lou Sheffer, “Statistical Timing Analysis: Basic Principles to State-of-the-Art,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), invited review article, Vol. 27, No. 4, April 2008, pg. 589-607 ©IEEE (.pdf)
  26. Scott Hanson, Bo Zhai, David Blaauw, Dennis Sylvester, “Energy-Optimal Circuit Design,” IEEE International SoC Design Conference, November 2007 ©IEEE (.pdf)
  27. Sanjay Pant, Eli Chiprout, David Blaauw, “Power Grid Physics and Implications for CAD,” IEEE Design and Test of Computers (D & T), Vol. 24, No. 3, May-June 2007, pg. 246-254 ©IEEE (.pdf)
  28. Dennis Sylvester, Scott Hanson, Bo Zhai, and David Blaauw, “Design strategies for ultra-low voltage circuits,” IEEE International SoC Design Conference, September 2006 ©IEEE (.pdf)
  29. Scott Hanson, Dennis Sylvester, David Blaauw, “A New Technique for Jointly Optimization Gate Sizing and Supply Voltage in Ultra-Low Energy Circuits,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), September 2006 ©IEEE (.pdf)
  30. Shidartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David Blaauw, Todd Austin, Trevor Mudge, Krisztián Flautner, “A Self-Tuning Dynamic Voltage Scaled Processor Using Delay-Error Detection and Correction,” IEEE International Conference on Integrated Circuit Design & Technology (ICICDT), May 2006  ©IEEE (.pdf)
  31. David Blaauw and Bo Zhai, “Energy Efficient Design for Subthreshold Supply Voltage Operation,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006  ©IEEE (.pdf)
  32. Rajeev R. Rao, David Blaauw, Dennis Sylvester, Anirudh Devgan, “Modeling and Analysis of Parametric Yield Under Power and Performance Constraints,” IEEE Design and Test of Computers (D&T), Vol. 22, No. 4, July-August 2005, pg. 376-385. ©IEEE (.pdf)
  33. Todd Austin, Valeria Bertacco, David Blaauw, Trevor Mudge, “Opportunities and Challenges for Better Than Worst-Case Design,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Vol. 1, January 2005, pgs. I/2 – I/7. ©IEEE (.pdf)
  34. Bo Zhai, David Blaauw, Dennis Sylvester, Krisztian Flautner, “Extended Dynamic Voltage Scaling for Low Power Design,” IEEE International SOC Conference, September 2004, pg. 389-394. ©IEEE (.pdf)
  35. Todd Austin, David Blaauw, Trevor Mudge, Krisztián Flautner, “Making Typical Silicon Matter with Razor” IEEE Computer, March 2004, pg. 57-65 ©IEEE (.pdf)
  36. David Blaauw, Kaushik Gala, “Inductance: Implications and Solutions for High-Speed Digital Circuits – Inductance Extraction and Modeling,” IEEE International Solid-State Circuits Conference (ISSCC), February 2002, pg. 548-553. ©IEEE (.pdf)
  37. David Blaauw, “Signal Integrity Issues in High Performance Design,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos), September 2001, pg. 5.1.1-5.1.4 ©IEEE
  38. Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao, “Inductance 101: Analysis and Design Issues,” ACM/IEEE Design Automation Conference (DAC), June 2001, pg. 329-334 ©IEEE (.pdf)
  39. David Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang, “On-Chip Inductance Modeling,” ACM/IEEE Great Lake Symposium on VLSI Design (GLS-VLSI), March 2000, pg. 75-80 ©IEEE (.pdf)
  40. David Blaauw, “Power Management Issues in High Performance Processor Design,” IEEE Alessandro Volta Workshop on Low-Power Design (VOLTA), March 1999, pg. 2 ©IEEE (.pdf)
  41. David Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards, “Industrial Perspectives on Emerging CAD Tools for Low Power Processor Design,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 1998, pg. 143-14 ©IEEE (.pdf)
  42. Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden, “Methodology for the Design and Analysis of Power Distribution Networks on the PowerPC Microprocessor,” ACM/IEEE Design Automation Conference (DAC), June 1998, pg. 738-743 ©IEEE (.pdf)

Workshop Papers

  1. Fabio Frustaci, David Blaauw, Dennis Sylvester, Massimo Alioto, “Better-than-Voltage Scaling Energy Reduction in Approximate SRAMs via Bit Dropping and Bit Reuse,” Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS), September 2015 
  2. Pat Pannuto, Yoonmyung Lee, Zhiyoong Foo, David Blaauw and Prabal Dutta, “M3: A mm-scale Wireless Energy Harvesting Sensor Platform,” The First International Workshop on Energy Neutral Sensing Systems (ENSSys), November 2013
  3. Yoonmyung Lee, Ye-Sheng Kuo, Pat Pannuto, Ron Dreslinski, Prabal Dutta, David Blaauw, “Architectural Challenges for MM-scale Sensor Nodes,” The First International Workshop on the Swarm at the Edge of the Cloud (SEC’13) September 2013
  4. Ronald Dreslinski, Bharan Giridhar, Nathan Pinckney, David Blaauw, Trevor Mudge, “Reevaluating Fast Dual-Voltage Power Rail Switching Circuitry,” 10th Annual Workshop on Duplicating, Deconstructing and Debunking (WDDD12) June 2012
  5. Pat Pannuto, Yoonmyung Lee, Ben Kempke, Dennis Sylvester, David Blaauw, Prabal Dutta, “Demo: Ultra-Constrained Sensor Platform Interfacing,” Information Processing in Sensor Networks (IPSN), April 2012
  6. David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wiekowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 7-Layer 3D System With 128 ARM Cortex-M3 Cores and 256MB of DRAM,” 3D Integration Workshop, ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2011
  7. Vivek Joshi, Valeriy Sukharev, Andres Torres, Dennis Sylvester, David Blaauw, “Closed-Form Modeling of Layout-Dependent Mechanical Stress,” Design for Manufacturability and Yield (DFM&Y), July 2009
  8. Ronald Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvster, Trevor Mudge, “Near Threshold Computing: Overcoming Performance Degradation from Aggressive Voltage Scaling,” Workshop on Energy-Efficient Design (WEED), June 2009
  9. Ravikishore Gandikota, David Blaauw, Li Ding, Peivand Tehrani, “Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2009
  10. David Blaauw, James Kitchener, Braden Phillips, “Optimizing addition for sub-threshold logic,” Forty-Second Asilomar Conference on Signals, Systems and Computers, October 2008
  11. Jae-Sun Seo, Igor Markov, Dennis Sylvester, David Blaauw, “On the Decreasing Significance of Large Standard Cells in Technology Mapping,” International Workshop on Logic & Synthesis (IWLS), June 2008
  12. Ravikishore Gandikota, David Blaauw, Dennis Sylvester, “Modeling Crosstalk in Statistical Static Timing Analsys,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008
  13. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Efficient Monte Carlo based Incremental Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008
  14. David Roberts, Ronald G. Dreslinski, Eric Karl, Trevor Mudge, Dennis Sylvester, David Blaauw, “When Homogeneous becomes Heterogeneous,” Parallel Architectures and Compilation Techniques (PACT) workshop on Operating Systems support for Heterogeneous Multicore Architectures, September 2007
  15. Mini Nanua, “Crosstalk Waveform Modeling Using Wave Fitting,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos) September 2007
  16. Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat Becer, “Top-k aggressors set in Delay Noise Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
  17. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Fast and Accurate Waveform Analysis with Current Source Models,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
  18. Vineeth Veetil, Dennis Sylvester, David Blaauw, “Criticality Aware Latin Hypercube Sampling for Efficient Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
  19. Kaviraj Chopra, Narendra Shenoy, David Blaauw, “Variogram Based Robut Extraction of Process Variation,” ACM/IEEE International Workshop on Timing Issues, Febraury 2007
  20. Fabio Albano, David Blaauw and Dennis Sylvester, Ann Mary Sastry, “Design and Optimization of Hybrid Power Systems for Fully Implantable Medical Devices,” Joint International Meeting Symposium on Bioelectronics, Biointerfaces, and Biomedical Applications 2, November, 2006
  21. Mini Nanua and David Blaauw, “Receiver Modeling for Static Functional Crosstalk Analysis,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos), September 2006
  22. Sanjay Pant, David Blaauw, “Timing-aware Decoupling Capacitance Allocation in Power Distribution Networks,” in ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
  23. Kavi Chopra, Bo Zhai, David Blaauw, Dennis Sylvester “A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis”, ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
  24. Kavi Chopra, Chandramouli Kashyap, Haihua Su, David Blaauw “Current Source Driver Model Synthesis and Worst-case Alignment for Accurate Timing and Noise Analysis”, ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
  25. Smitha Shyam, Sujay Phadke, Benjamin Lui, Hitesh Gupta, Valeria Bertacco, David Blaauw, “VOLTaiRE: Low-cost Fault Detection Solutions for VLIW Microprocessors,” Workshop on Introspective Architecture (WISA), February 2006.
  26. Amir Borna, Christopher Progler, David Blaauw, “Correlation Analysis of CD-Variation and Circuit Performance Under Multiple Sources of Variability,” SPIE Design and Process Integration for Microelectronic Manufacturing II, Lars W. Liebmann, May 2005
  27. Aseem Agarwal, Kaviraj Chopra, Vladimir Zolotov, David Blaauw, “Statistical Timing Based Optimization Using Gate Sizing,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005
  28. Amit Jain, David Blaauw, Vladimir Zolotov, “Accurate Gate Delay Model for Arbitrary Waveform Shapes,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005
  29. Christopher Progler, Amir Borna, David Blaauw, Pierre Sixt, “Impact of lithography variability on statistical timing behavior,” SPIE Design and Process Integration for Microelectronic Manufacturing II, Lars W. Liebmann, Ed., Vol. 5379, May 2004, pg. 101-110
  30. Amit Jain, David Blaauw, “Modeling Flip-Flop Delay Dependencies in Timing Analysis,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2004
  31. Aseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma Vrudhula, “Statistical Timing Analysis Using Bounds and Selective Enumeration,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 29-36
  32. Aseem Agarwal, David Blaauw, Savithri Sundareswaran, Vladimir Zolotov, Min Zhou, Kaushik Gala, Rajendran Panda, “Path-Based Statistical Timing Analysis Considering Inter- and Intra-Die Correlations,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 16-21
  33. Himanshu Kaul, Dennis Sylvester, David Blaauw, “Active Shielding of RLC Global Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 98-104
  34. Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Library Compatible Driving Point Model for On-Chip RLC Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 63-69
  35. Bhavana Thudi, David Blaauw, “Efficient Switching Window Computation For Cross-Talk Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 84-91
  36. Fadi Aloul, Soha Hassoun, Karem Sakallah, David Blaauw, “Robust SAT-Based Search Algorithm for Leakage Power Reduction,” IEEE International Workshop-Power And Timing Modeling, Optimization and Simulation (Patmos), September 2002, pg. 167-177
  37. Murat Becer, David Blaauw, Ibrahim Hajj, Rajendran Panda, “Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects,” ACM/IEEE International Workshop on System-Level Interconnect Prediction (SLIP), April 2002, pg. 77-83
  38. David Blaauw, “Signal Integrity Issues in High Performance Design,” IEEE International Workshop – Power and Timing Modeling, Optimization and Simulation (Patmos), September 2001, pg. 5.1.1-5.1.4
  39. Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, Savithri Sundareswaran, “Slope Propagation in Static Timing Analysis,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 91-96
  40. Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, “Driver Modeling and Alignment for Worst-Case Delay Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 1-7
  41. David Blaauw, Tim Edwards, “Generating False Path Free Timing Graphs Using Node Splitting,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), March 1999, pg. 112-117
  42. David Blaauw, “Power Management Issues in High Performance Processor Design,” IEEE Alessandro Volta Workshop on Low-Power Design (VOLTA), March 1999, pg. 2
  43. Daksh Lenther, Satya Pullela, David Blaauw, Shantanu Ganguly, “Hierarchical Clock-network Optimization,” ACM Physical Design Workshop, April 1996, pg. 49-54
  44. John Willis, Rob Newshutz, Lance Thompson, Jeff Graves, Tom Dillinger, Jeff Snyder, Nimish Radia, Joe Skovira, David Blaauw, Sidhartha Mohanty, Zhiyuan Li, Sandra Samelson, Matt Lin, “MinSim: Optimized, Compiled VHDL Simulation Using Networked & Parallel Computers,” IEEE VHDL International User Forum, October 1993, pg. 137-144 

Books

  1. Ashish Srivastava, Dennis Sylvester, and David Blaauw, “Statistical Analysis and Optimization for VLSI: Timing and Power,” Kluwer Accademic Publishers, 2005 ©IEEE

Book Chapters

  1. Sechang Oh, Yao Shi, Gyouho Kim, Yejoong Kim, Taewook Kang, Seok Hyeon Jeong, Dennis Sylvester, David Blaauw, “Low-Power Resistive Bridge Readout Circuit Integrated in Two Millimeter-Scale Pressure-Sensing Systems,” in Low-Power Analog Techniques, Sensors for Mobile Devices, and Energy Efficient Amplifiers : Advances in Analog Circuit Design 2018, K. A. A. Makinwa, A. Baschirotto, and P. Harpe, Eds. Springer International Publishing, 2019 ©IEEE
  2. Sechang Oh, Wanyeong Jung, Hyunsoo Ha, Jae-Yoon Sim, David Blaauw, “Energy-Efficient CDCs for Millimeter Sensor Nodes,” in Efficient Sensor Interfaces, Advanced Amplifiers and Low Power RF Systems : Advances in Analog Circuit Design 2015, K. A. A. Makinwa, A. Baschirotto, and P. Harpe, Eds. Springer International Publishing, 2016 ©IEEE
  3. Shidhartha Das, David Roberts, David Blaauw, David Bull, Trevor Mudge, “Architectural Techniques for Adaptive Computing”, Chapter in Adaptive Techniques for Dynamic Processor Optimization: Theory and Practice, Alice Wang and Sam Naffziger, editors, Springer Publishing Company, 2008 ©IEEE 
  4. David Blaauw, Sanjay Pant, Rajat Chaudhry and Rajendran Panda, “Design and Analysis of Power Supply Networks,” Chapter in Electronic Design Automation for Integrated Circuits Handbook, Luciano Lavagno, Grant Martin, and Lou Sheffer, editors, CRC Press, 2005 ©IEEE
  5. Sarvesh Kilkarni, Ashish Srivastava, Dennis Sylvester, David Blaauw, “Power Optimization Techniques using Multiple Supply Voltages,” Chapter in Closing the Power Gap between ASIC and Custom, David Chinnery and Kurt Keutzer, editors, Kluwer Accademic Publishers, 2005 ©IEEE
  6. Dongwoo Lee, Bo Zhai, David Blaauw, Dennis Sylvester, “Static Leakage Reduction through Simulataeous Vt/Tox and State Assignment,” Chapter in Ultra Low-Power Electronics and Design, Enrico Macii, editor, Kluwer Academic Publishers, 2004 ©IEEE
  7. David Blaauw, Abhijit Dharchoudhury, Rajendran Panda, “Design and Analysis of Power Distribution Networks for Processor Design,” Chapter in IEEE Design of High Performance Microprocessors Circuits, Anantha Chandrakasan, William Bowhill, and Frank Fox, editors, IEEE Press, 2000. ©IEEE
  8. Abhijit Dharchoudhury, Shantanu Ganguly, David Blaauw, “Timing and Signal Integrity Analysis,” Chapter in Handbook for VLSI Design, Wai Kai Chen, editor, IEEE Press, 2000. ©IEEE