Conference Papers
Journals
- Xiao Wu, Arun Subramaniyan, Zhehong Wang, Satish Narayanasamy, Reetuparna Das, David Blaauw, “A High-Throughput Pruning-based Pair-Hidden-Markov-Model Hardware Accelerator for Next-Generation DNA Sequencing,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on VLSI 2020, accepted ©IEEE
- Ziyun Li, Zhehong Wang, Li Xu, Qing Dong, Bowen Liu, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David Blaauw, “RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-on-Chip DNN Accelerator,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2020, accepted ©IEEE
- Jingcheng Wang, Hyochan An, Qirui Zhang, Hun Seok Kim, David Blaauw, Dennis Sylvester, “A 40nm Ultra-low Leakage Voltage-Stacked SRAM for Intelligent IoT Sensors,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on VLSI 2020, accepted ©IEEE
- Hyochan An, Sam Schiferl, Siddharth Venkatesan, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Luyao Gong, Hengfei Zhong, David Blaauw, Ronald Dreslinski, Hun Seok Kim, Dennis Sylvester, “An Ultra-low-power Image Signal Processor for Hierarchical Image Recognition with Deep Neural Networks,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2020, accepted ©IEEE
- Zhehong Wang, Tianjun Zhang, Daichi Fujiki, Arun Subramaniyan, Xiao Wu, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, Reetuparna Das, Satish Narayanasamy, David Blaauw, “A 2.46M reads/s Seed-Extension Accelerator for Next-Generation-Sequencing using a String-Independent PE Array,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on CICC 2020, accepted ©IEEE
- Ji-Hwan Seol, Kyojin Choo, David Blaauw, Dennis Sylvester, Taekwang Jang, “A 67-fsrms Jitter, −130 dBc/Hz In-Band Phase Noise, −256-dB FoM Reference Oversampling Digital PLL With Proportional Path Timing Control,” IEEE Solid-State Circuits Letters, Invited Paper to the Special Issue on ASSCC 2020, Vol. 3, September 2020, pg. 430-433 ©IEEE (.pdf)
- Eunseong Moon, Michael Barrow, Jongyup Lim, David Blaauw, Jamie D. Phillips, “Dual-Junction GaAs Photovoltaics for Low Irradiance Wireless Power Transfer in Sub Millimeter-scale Sensor Nodes,” IEEE Journal of Photovoltaics, Vol. 10, No. 6, November 2020, pg. 1721-1726 ©IEEE (.pdf)
- Samuel R. Nason, Alex K. Vaskov, Matthew S. Willsey, Elissa J. Welle, Hyochan An, Philip P. Vu, Autumn J. Bullard, Chrono S. Nu, Jonathan C. Kao, Krishna V. Shenoy, Taekwang Jang, Hun-Seok Kim, David Blaauw, Parag G. Patil, Cynthia A. Chestek, “A low-power band of neuronal spiking activity dominated by local single units improves the performance of brain–machine interfaces,” Nature Biomedical Engineering, Vol. 4, July 2020, pg. 973-983 ©IEEE (.pdf)
- Jeongsup Lee, Mehdi Saligane, David Blaauw and Dennis Sylvester, “A 0.3V to 1.8–3.3V Leakage-Biased Synchronous Level Converter for ULP SoCs,” IEEE Solid-State Circuits Letters, Vol. 3, July 2020, pg. 130 – 133 ©IEEE (.pdf)
- Samuel R. Nason, Alex K. Vaskov, Matthew S. Willsey, Elissa J. Welle, Hyochan An, Philip P. Vu, Autumn J. Bullard, Chrono S. Nu, Jonathan C. Kao, Krishna V. Shenoy, Taekwang Jang, Hun-Seok Kim, David Blaauw, Parag G. Patil, and Cynthia A. Chestek, “A low-power band of neuronal spiking activity dominated by local single-unit spikes improves the performance of brain–machine interfaces,” Nature Biomedical Engineering, accepted ©IEEE (.pdf)
- Li-Xuan Chuo, Zhen Feng, Yejoong Kim, Nikolaos Chiotellis, Makoto Yasuda, Satoru Miyoshi,Masaru Kawaminami, Anthony Grbic, David Wentzloff, David Blaauw, and Hun-Seok Kim, “Millimeter-Scale Node-to-Node Radio Using a Carrier Frequency Interlocking IF Receiver for a Fully Integrated 4x4x4mm3Wireless Sensor Node,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on RFIC 2019, Volume: 55 , No. 5, May 2020, pg. 1128 – 1138 ©IEEE ©IEEE (.pdf)
- Dong-Hyeon Park, Subhankar Pal, Siying Feng, Paul Gao, Jielun Tan, Austin Rovinski, Shaolin Xie, Chun Zhao, Aporva Amarnath, Timothy Wesley, Jonathan Beaumont, Kuan-Yu Chen, Chaitali Chakrabarti, Michael Taylor, Trevor Mudge, David Blaauw, Hun-Seok Kim, Ronald Dreslinski, “A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator,” IEEE Journal of Solid State Circuits (JSSC), Vol. 55, No. 4, April 2020, pg. 933-944 ©IEEE (.pdf)
- Jeongsup Lee, Yiqun Zhang, Qing Dong, Wooteak Lim, Mehdi Saligane, Yejoong Kim, Seokhyeon Jeong, Jongyup Lim, Makoto Yasuda, Satoru Miyoshi, Masaru Kawaminami, David Blaauw, Dennis Sylvester, “A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy Optimal Operation,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, Vol. 55, No. 1, January 2020, pg. 87 – 97 ©IEEE (.pdf)
- Yimai Peng, David Kyojin Choo, Sechang Oh, Inhee Lee, Taekwang Jang, Yejoong Kim, Jongyup Lim, David Blaauw, Dennis Sylvester, “An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 12, December 2019, pg. 3348-3361©IEEE (.pdf)
- Kyojin D. Choo, Li Su, Yejoong Kim, Ji-Hwan Seol, Xiao Wu, Dennis Sylvester, David Blaauw, “Energy-Efficient Motion-Triggered IoT CMOS Image Sensor with Capacitor Array-Assisted Charge-Injection SAR ADC,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 11, November 2019, pg. 2921-2931 ©IEEE (.pdf)
- Sechang Oh, Minchang Cho, Zhan Shi, Jongyup Lim , Yejoong Kim, Seokhyeon Jeong, Yu Chen, Rohit Rothe, David Blaauw, Hun-Seok Kim, Dennis Sylvester, “An Acoustic Signal Processing Chip With 142-nW Voice Activity Detection Using Mixer-Based Sequential Frequency Scanning and Neural Network Classification,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2019, 54, No. 11, November 2019, pg. 3005-3016 ©IEEE (.pdf)
- Paolo Bollella, Inhee Lee, David Blaauw, Evgeny Katz, “A Microelectronic Sensor Device Powered by a Small Implantable Biofuel Cell †,” ChemPhysChem, , Selected as a VIP article, Vol. 21, August 2019 pg. 120 –128 ©IEEE (.pdf)
- Ziyun Li, Jingcheng Wang, Dennis Sylvester, David Blaauw, Hun Seok Kim “A 1920 × 1080 25-Frames/s 2.4-TOPS/W Low-Power 6-D Vision Processor for Unified Optical Flow and Stereo Depth with Semi-Global Matching,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 54, No. 4, April 2019, pg. 1048-1058 ©IEEE (.pdf)
- Eunseong Moon, Inhee Lee, David Blaauw and Jamie D. Phillips, “High-Efficiency Photovoltaic Modules on a Chip for Millimeter-Scale Energy Harvesting,” Progress in Photovoltaics: Research and Applications, No. 27, February 2019, pg. 540–546 ©IEEE (.pdf)
- Qing Dong, Zhehong Wang, Jongyup Lim, Yiqun Zhang, Mahmut E. Sinangil, Yi-Chun Shih, Yu-Der Chih, Jonathan Chang, David Blaauw, Dennis Sylvester, “A 1Mb 28nm 1T1MTJ STT-MRAM with Single-Cap Offset-Cancelled Sense Amplifier and In-situ Self-Write-Termination” IEEE Journal of Solid State Circuits (JSSC), 54, No. 1, January 2019, pg. 231-239©IEEE (.pdf)
- Ziyun Li, Jiang Xiang, Luyao Gong, David Blaauw, Chaitali Chakrabarti, Hun Seok Kim “Low Complexity, Hardware-Efficient Neighbor-Guided SGM Optical Flow for Low Power Mobile Vision Applications,” IEEE Transactions on Circuits and Systems for Video Technology, July 2018 ©IEEE (.pdf)
- Pat Pannuto, Benjamin Kempke, Li-Xuan Chuo, David Blaauw, Prabal Dutta, “Harmonium: Ultra Wideband Pulse Generation with Bandstitched Recovery for Fast, Accurate, and Robust Indoor Localization,” ACM Transactions on Sensor Networks, Vol. 14, No. 2, June 2018 ©IEEE (.pdf)
- Yiqun Zhang, Li Xu, Qing Dong, Jingcheng Wang, Kaiyuan Yang, Supreet Jeloka, David Blaauw, Dennis Sylvester “Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor with In-Memory and Near-Memory Computing for IoT Security,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 53, No. 4, April 2018, pg. 995-1005 ©IEEE (.pdf)
- Qing Dong, Supreet Jeloka, Mehdi Saligane, Yejoong Kim, Masaru Kawaminami, Akihiko Harada, Satoru Miyoshi, Makoto Yasuda, David Blaauw, Dennis Sylvester “A 4+2T SRAM for Searching and In-Memory Computing with 0.3V VDDmin,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2017, 53, No. 4, April 2018, pg. 1006-1015 ©IEEE (.pdf)
- Yiqun Zhang, Mahmood Khayatzadeh, Kaiyuan Yang, Mehdi Saligane, Nathaniel Pinckney, Massimo Alioto, David Blaauw, Dennis Sylvester, “iRazor: Current-Based Error Detection and Correction for PVT Variation Tolerance in 40-nm ARM Cortex-R4 Processor,” IEEE Journal of Solid State Circuits (JSSC), Vo. 53, No. 2, February 2018, pg. 619-631 ©IEEE (.pdf)
- Taekwang Jang, Seokhyeon Jeong, Dongsuk Jeon, Kyojin Choo, Dennis Sylvester, David Blaauw, “A Noise Reconfigurable All Digital Phase Locked Loop using a Switched Capacitor based Frequency Locked Loop and a Noise Detector,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2017, 53, No. 1, January 2018, pg. 50-65 (.pdf)
- Xiao Wu, Kyojin Choo, Yao Shi, Li-Xuan Chuo, Dennis Sylvester, David Blaauw, “A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery in Small Form-Factor Sensor Systems,” IEEE Journal of Solid State Circuits (JSSC), Invited paper to the Special issue on ISSCC 2017, Vol. 52, No. 12, December 2017, pg. 3155-3167 ©IEEE (.pdf)
- Kaiyuan Yang, David Blaauw, Dennis Sylvester, “Hardware Designs for Security in Ultra-Low-Power IoT Systems – An Overview and Survey,” IEEE Micro on Ultra Low Power Processors, 37, No. 6, November 2017, pg. 72-89 ©IEEE (.pdf)
- Ziyun Li, Qing Dong, Mehdi Saligane, Benjamin Kempke, Luyao Gong, Zhengya Zhang, Ron Dreslinski, David Blaauw, Hun Seok Kim, “A 1920 × 1080 30-frames/s 2.3 TOPS/W Stereo-Depth Processor for Energy-Efficient Autonomous Navigation of Micro Aerial Vehicles,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2017, 53, No. 1, September 2017, pg. 76-90 ©IEEE (.pdf)
- Eunseong Moon, David Blaauw, Jamie Phillips, “Infrared Energy Harvesting in mm-Scale GaAs Photovoltaics,” IEEE Transactions on Electron Devices (T-ED), 64, No. 11, September 2017, pg. 4554-4560 ©IEEE (.pdf)
- Eunseong Moon, David Blaauw, Jamie Phillips, “Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices,” IEEE Transactions on Electron Devices (T-ED), Vol. 64, No. 5, May 2017, pg. 2432-237 ©IEEE (.pdf)
- Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David Blaauw, Dennis Sylvester “Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 25, No. 3, March 2017, pgs. 907-918 ©IEEE (.pdf)
- Minseob Shim, Seokhyeon Jeong, Paul Myers, Suyoung Bang, Chulwoo Kim, Dennis Sylvester, David Blaauw, Wanyeong Jung, “Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator with Application in a 74.1dB SNDR, 20kS/s 15b SAR ADC,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2015, Vol. 52, No. 4, April 2017, pg. 1077-1090 ©IEEE (.pdf)
- Xiao Wu, Yao Shi, Supreet Jeloka, Kaiyuan Yang, Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “A 20pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on VLSI 2016, Vol. 52, No. 4, January 2017, pgs. 972-984 (.pdf)
- Inhee Lee, Dennis Sylvester, David Blaauw “A Subthreshold Voltage Reference with Scalable Output Voltage for Low-Power IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), 9, January 2017, pg. 1-7 ©IEEE (.pdf)
- Myungjoon Choi, Taekwang Jang, Junwong Jeong, Seokhyeong Jeong, David Blaauw, Dennis Sylvester, “A Resonant Current-Mode Wireless Power Receiver and Battery Charger With -32 dBm Sensitivity for Implantable Systems,” IEEE Journal of Solid State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC 2016, Vol. 51, No. 51, December 2016, pg. 2880-2892©IEEE (.pdf)
- Mohit Shah, Sairam Arunachalam, Jingcheng Wang, David Blaauw, Dennis Sylvester, Hun-Seok Kim, Jae-sun Seo, Chaitali Chakrabarti, “A Fixed-Point Neural Network Architecture for Speech Applications on Resource Constrained Hardware,” Journal of Signal Processing, November 2016, pg. 1-15 ©IEEE (.pdf)
- Eunseong Moon, David Blaauw, Jamie Phillips, “Small-area Si Photovoltaics for Low-Flux Infrared Energy Harvesting,” IEEE Transactions on Electron Devices (T-ED), Vol. 64, No. 1, November 2016, pg. 15-20 ©IEEE (.pdf)
- Inhee Lee, Dennis Sylvester, David Blaauw “A Subthreshold Voltage Reference with Scalable Output Voltage for Low-Power IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), No. 9, January 2017, pgs. 1-7 ©IEEE (.pdf)
- Inhee Lee, Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Battery Voltage Supervisors for Miniature IoT Systems,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 11, November 2016, pg. 2743-2756 ©IEEE (.pdf)
- Nathaniel Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ron Dres-linski, Trevor Mudge, Dennis Sylvester, David Blaauw, “Impact of FinFET on Near-Threshold Voltage Scalability” SI: Computing in the Dark Silicon Era issue of IEEE Design & Test, Vol. 34, No. 2, November 2016, pgs. 31-38 ©IEEE (.pdf)
- Yao Shi, Myungjoon Choi, Ziyun Li, Zhihong Luo, Gyouho Kim, Zhiyoong Foo, Hun-Seok Kim, David Wentzloff, David Blaauw, “A 10mm³ Inductive-Coupling Near-Field Radio for Syringe-Implantable Smart Sensor Nodes,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 11, September 2016, pgs. 2570-2583 ©IEEE (.pdf)
- Yajing Chen, Nikolaos Chiotellis, Li-Xuan Chuo, Carl Pfeiffer, Yao Shi, Ronald Dreslinski, Anthony Grbic, Trevor Mudge, David Wentzloff, David Blaauw, Hun Seok Kim, “Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes,” JSAC – IEEE Journal on Selected Areas – Series on Green Communications and Networking, No. 99, September 2016 ©IEEE (.pdf)
- Myungjoon Choi, Taekwang Jang, Suyoung Bang, Yao Shi, David Blaauw, Dennis Sylvester, “A 110nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/ºC Temperature Stability for System-on-Chip Designs,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 9, September 2016, pgs. 2106-2118 ©IEEE (.pdf)
- Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Ultra-Low Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 104, No. 8, August 2016, pgs. 1529-1546 ©IEEE (.pdf)
- Pat Pannuto, Yoonmyung Lee, Ye-Sheng Kuo, ZhiYoong Foo, Benjamin Kempke, Gyouho Kim, Ronald G. Dreslinski, David Blaauw, and Prabal Dutta, “MBus: A System Integration Bus for the Modular Microscale Computing Class,” May/June 2016 Top Picks Special Issue of IEEE Micro, Vol. 36, No. 3, May-June 2016, pgs. 60-70 ©IEEE (.pdf)
- Supreet Jeloka, Naveen Akesh, Dennis Sylvester, and David Blaauw, “A 28nm configurable memory (TCAM / BCAM / SRAM) using push-rule 6T bit cell enabling logic-in-memory,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special issue on VLSI, Vol. 51, No. 4, April 2016, pgs. 1009-1021 ©IEEE (.pdf)
- Kaiyuan Yang, David Blaauw, Dennis Sylvester, “An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 4, April 2016, pgs. 1022-1031 ©IEEE (.pdf)
- Suyoung Bang, Jae-sun, Seo, Leland Chang, David Blaauw, Dennis Sylvester, “A Low Ripple Switched-Capacitor Voltage Regulator Using Flying Capacitance Dithering,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 4, April 2016, pgs. 919-929 ©IEEE (.pdf)
- Inhee Lee, Dennis Sylvester, and David Blaauw, “A Constant Energy-per-Cycle Ring Oscilla-tor over Wide Frequency Range for Wireless Sensor Nodes,” IEEE Journal of Solid-State Cir-cuits (JSSC), Vol. 51, No. 3, March 2016, pgs. 697-711 ©IEEE (.pdf)
- Dongmin Yoon, Taekwang Jang, Dennis Sylvester, David Blaauw “A 5.58nW Crystal Oscilla-tor Using Pulsed Driver for Real-Time Clocks,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 2, February 2016, pgs. 509-522 ©IEEE (.pdf)
- Fabio Frustaci, David Blaauw, Dennis Sylvester, Massimo Alioto, “Approximate SRAMs with Dynamic Energy-Quality Management TVLSI-00402-2015.R1,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 55, January 2016, No 90, pgs. 1-14 ©IEEE (.pdf)
- Suyoung Bang, David Blaauw, and Dennis Sylvester, “A Successive-Approximation Switched-Capacitor DC-DC Converter with Resolution of VIN/2N for a Wide Range of Input and Output Voltages,” IEEE Journal of Solid State Circuits (JSSC), Vol. 51, No. 2, January 2016, pgs. 543-556 ©IEEE (.pdf)
- Seok Hyeon Jeong, Inhee Lee, David Blaauw, Dennis Sylvester, “A 5.8nW CMOS Wake-up Timer for Ultra-Low Power Wireless Applications” IEEE Journal of Solid-State Cir-cuits(JSSC), Vol. 50, No. 8, pgs. 1754-1763, August 2015 ©IEEE (.pdf)
- Alan S. Teran, Joeson Wong, Wootaek Lim, Gyouho Kim, Yoonmyoung Lee, David Blaauw, Jamie D. Phillips, “AlGaAs Photovoltaics for Indoor Energy Harvesting in mm-Scale Wireless Sensor Nodes,” IEEE Transactions on Electron Devices (T-ED), Vol. 62, No. 7, June 2015, pgs. 2170-2175 ©IEEE (.pdf)
- Sechang Oh, Yoonmyung Lee, Yejoong Kim, Jingcheng Wang, Zhiyoong Foo, Wanyeong Jung, Ziyun Li, David Blaauw, Dennis Sylvester “A Dual-Slope Capacitance-to-Digital Con-verter Integrated in an Implantable Pressure-Sensing System,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special issue on ESSCIRC, Vol. 50, No. 7, pgs., July 2015 ©IEEE (.pdf)
- Fabio Frustaci, Mahmood Khayatzadeh, David Blaauw, Dennis Sylvester, Massimo Alioto, “SRAM for Error-Tolerant Applications with Dynamic Energy-Quality Management in 28nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 50, No. 5, May 2015 ©IEEE (.pdf)
- Inhee Lee, Gyouho Kim, Suyoung Bang, Adriane Wolfe, Richard Bell, Seokhyeon Jeong, Yejoong Kim, Jeffrey Kagan, Meriah Arias-Thode, Bart Chadwick, Dennis Sylvester, David Blaauw, Yoonmyung Lee, “System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 62, No. 4, April 2015, pgs.1126-1135 ©IEEE (.pdf)
- Dong-Woo Jee, Dennis Sylvester, David Blaauw, Jae-Yoon Sim, “Digitally Controlled Leak-age-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 50, No. 5, May 2015, pgs. 1-12 ©IEEE (.pdf)
- Yen-Po Chen, Dongsuk Jeon, Yoonmyung Lee, Yejoong Kim, Zhiyoong Foo, Inhee Lee, Nicholas B. Langhals, Grant Kruger, Hakan Oral, Omer Berenfeld, Zhengya Zhang, David Blaauw, and Dennis Sylvester, “An Injectable 64nW ECG Mixed-Signal SoC in 65nm for Arrhythmia Monitoring,” IEEE Journal of Solid State Circuits (JSSC), Invited paper to the Special issue on ISSCC, Vol. 50, No. 1, January 2015, pgs. 375-390 ©IEEE (.pdf)
- Wangyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Zhiyoong Foo, Gyouho Kim, Yiquan Zhang, Dennis Sylvester, David Blaauw, “An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler,” IEEE Journal of Solid-State Circuits(JSSC), Vol. 49, No. 12, December 2014, pgs. 2800-2811 ©IEEE (.pdf)
- Laura Fick, David Fick, Massimo Alioto, David Blaauw, Dennis Sylvester, “A 346μm2 VCO-based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28nm CMOS,” IEEE journal of Solid-State Circuits (JSSC), Invited paper to the Special issue on CICC, Vol. 49, No. 11, November 2014, pgs. 2462-2473 ©IEEE (.pdf)
- Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, and David Blaauw, “Circuit Techniques for Miniaturized Biomedical Sensors,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 (.pdf)
- Seokhyeon Jeong, Jae-Yoon Sim, David Blaauw, Dennis Sylvester, “A Fully-Integrated 71nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 49, No. 8, August 2014, pgs. 1682-1693 ©IEEE (.pdf)
- Chia-Hsiang Chen, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Design and Evaluation of Confidence-Driven Error-Resilient Systems,” IEEE Very Large Scale Integration Systems (T-VLSI), Vol. 22, No. 8, August 2014, pgs. 1727-1737 ©IEEE
- Dongsuk Jeon, Michael Henry, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, Dennis Sylvester, “An Energy Efficient Full-Frame Feature Extraction Accelerator with Shift-Latch FIFO in 28nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Vol. PP, No. 99, March 2014, pgs. 1-14 ©IEEE (.pdf)
- Youn Sung Park, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Low-Power High-Throughput LDPC Decoder using Non-Refresh Embedded DRAM,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 49, No. 3, March 2014, pgs 783-794 ©IEEE (.pdf)
- Yoonmyung Lee, Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Achieving Ultra-low Standby Power with an Efficient SCCMOS Bias Generator,” IEEE Transactions on Circuits and Systems II (TCAS II), Vol. 60, No. 12, December 2013, pgs. 842-851 ©IEEE (.pdf)
- Mohammad Hassan Ghaed, Gregory Chen, Raziul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, Yoonmyung Lee, Inhee Lee, David Fick, Daeyeon Kim, Mingoo Seok, Kensall Wise, David Blaauw, Dennis Sylvester, “Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 60, No. 12, December 2013, pgs. 3152-3162 ©IEEE (.pdf)
- Nathaniel Pinckney, Ronald Dreslinski, Korey Sewell, David Fick, Trevor Mudge, Dennis Sylvester, David Blaauw, “Limits of Parallelism and Boosting in Dim Silicon,” IEEE Micro, Vol. 33, No. 5, October 2013, pgs. 30-37 ©IEEE (.pdf)
- Yoonmyung Lee, Bharan Ghiridar, Zhiyoong Foo, Dennis Sylvester, David Blaauw, “A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 48, No. 10, October 2013, pgs. 2511-2521 ©IEEE (.pdf)
- Yoonmyung Lee, Daeyeon Kim, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, David Blaauw, Dennis Sylvester, “Low Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs),” IEEE Transactions on Very Lare Scale Integration Systems (T-VLSI), Vol. 21, No. 9, September 2013, pgs. 1632-1643 ©IEEE (.pdf)
- ZhiYoong Foo, David Devescery, Mohammad Ghaed, Inhee Lee, Abishek Madhavan, Youn Sung Park, Arvind Rao, Zachary Renner, Nathan Roberts, Aaron Schulman, Vikas Vinay, Michael Wieckowski, Dongmin Yoon, Cliff Schmidt, Thomas Schmid, Prabal Dutta, Peter Chen, David Blaauw, “A Low-cost Audio Computer for Information Dissemination among Illiterate People Groups,” IEEE Transactions on Circuits and Systems (TCAS), Vol. 60, No. 8, August 2013, pgs. 2039-2050 ©IEEE (.pdf)
- Chia-Hsiang Chen, David Blaauw, Dennis Sylvester, Zhengya Zhang, “Design and Evaluation of Confidence-Driven Error-Resilient Systems,” IEEE Transactions on Very Lare Scale Integration Systems (T-VLSI), August 2013, pgs. 1-11 ©IEEE (.pdf)
- Ronald Dreslinski, David Fick, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 64-Core, 3D Stacked, Near-Threshold System”, IEEE Micro, Vol. 33, No. 2, March/April 2013, pgs. 8-16 ©IEEE (.pdf)
- Cheng Zhuo, David Blaauw, Dennis Sylvester, “A Statistical Framework for Post-Fabrication Oxide Breakdown Reliability Prediction and Management,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 32, No. 4, April 2013, pgs. 630-643 ©IEEE (.pdf)
- Yoonmyung Lee, Suyoung Bang, Inhee Lee, Yejoong Kim, Gyouho Kim, Prabal Dutta, Dennis Sylvester, David Blaauw, “A Modular 1mm³ Die-Stacked Sensing Platform with Low Power I²C Inter-die Communication and Multi-Modal Energy Harvesting,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No.1, January 2013, pgs. 229-243 ©IEEE (.pdf)
- Matthew Fojtik, David Fick, Yejonng Kim, Nathaniel Pinckney, David Harris, David Blaauw, Dennis Sylvester, “Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45nm CMOS Using Architecturally Independent Error Detection and Correction,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No. 1, January 2013, pgs. 66-81 ©IEEE (.pdf)
- David Fick, Ronald Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wieckowski, Gregory Chen, Trevor Mudge, David Blaauw, Dennis Sylvester, “Centip3De: A Cluster-Based NTC Architecture with 64 ARM Cortex-M3 Cores in 3D Stacked 130nm CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 48, No. 1, January 2013, pgs. 104-117 ©IEEE (.pdf)
- Mingoo Seok, David Blaauw, Dennis Sylvester, Gyouho Kim, “A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5V,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 47, No. 10, October 2012, pgs. 2534-2545 ©IEEE (.pdf)
- Korey Sewell, Ronald Dreslinski, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoffrey Blake, Michael Cieslak, Reetuparna Das, Thomas Wenisch, Dennis Sylvester, David Blaauw, Trevor Mudge, “Swizzle-Switch Networks for Many-Core Systems,” IEEE Emerging and Selected Topics in Circuits and Systems (JETCAS), Vol. 2, No. 2, June 2012, pgs. 278-294 ©IEEE (.pdf)
- Andrew DeOrior, David Fick, Valeria Bertacco, Dennis Sylvester, David Blaauw, Jin Hu, Gregory Chen, “A Reliable Routing Architecture and Algorithm for NoCs,”(TCAD-VICIS), Vol. 31, No. 5, May 2012, pgs. 726 – 739 ©IEEE (.pdf)
- Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, and Dennis Sylvester, “A Super-Pipelined Energy Efficient Subthreshold 240MS/s FFT Core in 65nm, (JSCC), Vol. 47, No.1, January 2012, pgs. 23 – 34 ©IEEE (.pdf)
- Jae-Sun Seo, David Blaauw, Dennis Sylvester, “Crosstalk-Aware PWM-Based On-Chip Links With Self-Calibration in 65 nm CMOS,” IEE Journal of Solid-State Circuits (T-SSC) Vol. 46, No. 9, September 2011, pgs. 2041 – 2052 ©IEEE (.pdf)
- Cheng Zhuo, Kaviraj Chopra, Dennis Sylvester, David Blaauw, “Process Variation and Temperature-Aware Full Chip Oxide Breakdown Reliability Analysis,” IEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 30, No. 9, September 2011, pgs. 1321 – 1334 ©IEEE (.pdf)
- Prashant Singh, Eric Karl, Dennis Sylvester, David Blaauw, “Compact Degradation Sensors for Monitoring NBTI and Oxide Degradation,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), August 2011 ©IEEE (.pdf)
- Vineeth Veetil, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Fast Statistical Static Timing Analysis Using Smart Monte Carlo Techniques,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 30, No. 6, June 2011, pgs. 852 – 865 ©IEEE (.pdf)
- Mingoo Seok, Gregory Chen, Scott Hanson, Michael Wieckowski, David Blaauw, Dennis Sylvester, “CAS-FEST 2010: Mitigating Variability in Near-Threshold Computing,” IEEE Transactions on Emerging and Selected Topics in Circuits and Systems (T-ESTCS), Vol. 1, No. 1, March 2011, pgs. 42 – 49 ©IEEE (.pdf)
- Jae-Sun Seo, Dennis Sylvester, David Blaauw, Himanshu Kaul, Ram Krishnamurthy, “A Robust Edge Encoding Techniuqe for Energy-Efficient Multi-Cycle Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 19, No. 2, February 2011, pgs. 264-273 ©IEEE (.pdf)
- Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Sleep Mode Analysis and Optimization with Minimal-Sized Power Gating Switch for Ultra-Low Vdd Operation,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI) ©IEEE (.pdf)
- David Bull, Shidhartha Das, Karthik Shivashankar, Ganesh Dasika, Krisztian Flautner, David Blaauw, “A Power-efficient 32bit ARM Processor using Timing-error Detection and Correction for Transient-error Tolerance and Adaptation to PVT Variation,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 46, No. 1, January 2011, pgs. 18 – 31 ©IEEE (.pdf)
- Gregory Chen, Dennis Sylvester, David Blaauw, Trevor Mudge, “Yield-driven Near-threshold SRAM Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 18, No. 11, November 2010, pgs. 1590 – 1598 ©IEEE (.pdf)
- Vivek Joshi, Brian Cline, Dennis Sylvester, David Blaauw, Kanak Agarwal, “Mechanical Stress Aware Optimization for Leakage Power Reduction,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 29, No. 5, May 2010, pgs. 722 – 736 ©IEEE (.pdf)
- Scott Hanson, ZhiYoong Foo, David Blaauw, Dennis Sylvester, “A 0.5V Sub-Microwatt CMOS Image Sensor with Pulse-Width Modulation Read-Out,” IEEE Journal of Solid-State Circuits (JSSC) to the Special Issue on VLSI Circuits, Vol. 45, No. 4, April 2010, pgs. 759 – 767 ©IEEE (.pdf)
- Carlos Tokunaga and David Blaauw, “Securing encryption systems with a switched capacitor current equalizer,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 45, No. 1, January 2010, pgs. 23 – 31 ©IEEE (.pdf)
- Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Victim-Alignment in Crosstalk-Aware Timing Analysis,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 29, No. 2, pgs. 261 – 274 ©IEEE (.pdf)
- Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, David Blaauw, “Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on ISSCC, Vol. 44, No. 1, January 2010, pgs. 32 – 48 ©IEEE (.pdf)
- Rajeev Rao, Vivek Joshi, David Blaauw, Dennis Sylvester, “Circuit Optimization Techniques to Mitigate the Effects of Soft Errors in Combinational Logic,” ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 15, Issue 1, December 2009, Article 5 ©IEEE (.pdf)
- Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Ann Reeves, Michael Minuth, Ryan Helfand, Todd Austin, Dennis Sylvester, David Blaauw, “Energy Efficient Subthreshold Processor Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 17, No. 8, August 2009, pgs. 1127 – 1137 ©IEEE (.pdf)
- Scott Hanson, Mingoo Seok, Yu-Shiang Lin, Zhiyoong Foo, Daeyon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “A Low-Voltage Processor for Sensing Applications with Picowatt Standby Mode,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI Circuits, Vol. 44, No. 4, April 2009, pgs. 1145 – 1155 ©IEEE (.pdf)
- Yu-Shiang Lin, Dennis Sylvester, David Blaauw, “Alignment Independent Chip-to-Chip Communication for Sensor Applications using Passive Capacitive Signaling,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on VLSI Circuits, Vol. 44, No. 4, April 2009, pgs. 1156 – 1166 ©IEEE (.pdf)
- Shidhartha Das, Carlos Tokunaga, Sanjay Pant, Wei-Hsiang Ma, Sudherssen Kalaiselvan, Kevin Lai, David Bull, David Blaauw, “Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance,” IEEE Journal of Solid-State Circuits (JSSC), invited paper ©IEEE (.pdf)
- Bo Zhai, Scott Hanson, David Blaauw, Dennis Sylvester, “A Variation-Tolerant Sub-200mV 6-T Subthreshold SRAM,” IEEE Journal of Solid-State Circuits (JSSC), Invited Paper to the Special Issue on the 2008 Compound Semi-Conductor Integrated Circuit Symposium (CSICS’08), Vol. 43, No. 10, October 2008, pgs. 2338 – 2348 ©IEEE (.pdf)
- Fabio Albano, Yu-Shiang Lin, David Blaauw, Dennis Sylvester, Kensall Wise, Ann Marie Sastry, “A fully integrated microbattery for an implantable microelectromechanical system,” Journal of Power Sources, doi:10.1016/j.jpowsour.2008.08.061, August 2008, online publication only ©IEEE (.pdf)
- Eric Karl, David Blaauw, Dennis Sylvester, Trevor Mudge, “Multi-Mechanism Reliability Modeling and Management in Dynamic Systems,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 16, No. 4, April 2008, pgs. 476-487 ©IEEE (.pdf)
- Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd Austin, Dennis Sylvester, David Blaauw, “Exploring Variability and Performance in a Sub-200 mV Processor”, IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 4, April 2008, pgs. 881 – 891 ©IEEE (.pdf)
- Sarvesh Kulkarni, Dennis Sylvester, David Blaauw, “Design-Time Optimization of Post-Silicon Tuned Circuits using Adaptive Body Bias,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 27, No. 3, March 2008, pgs. 481-494 ©IEEE (.pdf)
- Bo Zhai, Scott Hanson, David Blaauw, Dennis Sylvester, “A Variation-Tolerant Sub-200mV 6-T Subthreshold SRAM,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 10, October 2008, 2338 – 2348 ©IEEE (.pdf)
- Carlos Tokunaga, David Blaauw, Trevor Mudge, “True Random Number Generator with a Metastability-based Quality Control,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 1, January 2008, pgs. 78 – 85. ©IEEE (.pdf)
- Scott Hanson, Mingoo Seok, Dennis Sylvester, David Blaauw, “Nanometer Device Scaling in Subthreshold Logic and SRAM,” Special Issue of IEEE Transactions on Electron Devices (T-ED), Vol. 55, Issue 1, January 2008, pgs. 175 – 185 ©IEEE (.pdf)
- Prashant Singh, Jae-Sun Seo, David Blaauw, Dennis Sylvester, “Self-timed Regenerators for High-speed and Low-power On-chip Global Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 16, No. 6, June 2008, pgs. 673-677 ©IEEE (.pdf)
- Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David Blaauw, “A Novel Approach to Perform Gate-level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance,”Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 27, No. 2, February 2008 ©IEEE (.pdf)
- Rajeev Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester, “Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 26, Issue 3, March 2007, pgs. 468-479 ©IEEE (.pdf)
- Dongwoo Lee, David Blaauw, Dennis Sylvester, “Runtime Leakage Minimization through Probability-Aware Optimization,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 14, No. 10, October 2006, pg. 1075-1088 ©IEEE (.pdf)
- Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David Blaauw, “Statistical Interconnect Metrics for Physical-Design Optimization,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, Issue 7, July 2006, pg. 1273-1288 ©IEEE (.pdf)
- Scott Hanson, Bo Zhai, Kerry Bernstein, David Blaauw, Andres Bryant, Leland Chang, Koushik Das, Wilfried Haensch, Edward Nowak, Dennis Sylvester, “Ultra-Low Voltage Minimum Energy CMOS,” IBM Journal of Research and Development, Vol. 50, No. 4/5, July/September 2006, pg. 469-490 ©IEEE (.pdf)
- Shidhartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David Blaauw, Todd Austin, Krisztián Flautner, Trevor Mudge, “A Self-Tuning DVS Processor using Delay-Error Detection and Correction,” IEEE Journal of Solid-State Circuits (JSSC), April 2006, pg. 792-804, invited paper ©IEEE (.pdf)
- Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester, “Analytical Yield Prediction Considering Leakage/Performance Correlation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, Issue 9, September 2006, pg. 1685-1695 ©IEEE (.pdf)
- Kanak Agarwal, Dennis Sylvester, David Blaauw, “Modeling and Analysis of Crosstalk Noise in Coupled RLC Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 25, No. 5, May 2006, pg. 892-901, short paper ©IEEE (.pdf)
- Rajeev Rao, Harmander Deogun, David Blaauw, Dennis Sylvester, “Bus Encoding for Total Power Reduction using a Leakage-Aware Buffer Configuration,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 13, Issue 12, Dec. 2005, pg. 1376-1383 . ©IEEE (.pdf)
- Sarvesh Bhardwaj, Sarma Vrudhula, David Blaauw, “Probability Distribution of Signal Arrival Times Using Bayesian Networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 24, Issue 11, Nov. 2005, pg. 1784-1794. ©IEEE (.pdf)
- Bo Zhai, David Blaauw, Dennis Sylvester, Krisztian Flautner, “The Limit of Dynamic Voltage Scaling (DVS) and Extended DVS,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 13, Issue 11, Nov. 2005 pg. 1239-1252. ©IEEE (.pdf)
- Nam Sung Kim, David Blaauw, Trevor Mudge, “Quantitative Analysis and Optimization Techniques for On-Chip Cache Leakage Power,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), October 2005, pg. 1147-1156 ©IEEE (.pdf)
- Dongwoo Lee, David Blaauw, Dennis Sylvester, “Static Leakage Reduction through Simultaneous Vt/Tox and State Assignment,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol 24, No. 7, July 2005, Pg. 1014-1029. ©IEEE (.pdf)
- Murat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim Hajj, “Post-Route Gate Sizing for Crosstalk Noise Reduction,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 12, December 2004, pg 1670. Short paper ©IEEE (.pdf)
- Himanshu Kaul, Dennis Sylvester, David Blaauw, “Performance Optimization of Critical Nets through Active Shielding,” IEEE Transactions on Circuits and Systems I: Analog and Digital Signal Processing (T-CAS), December 2004, pg. 2417-2435. ©IEEE (.pdf)
- Dan Ernst, Shidhartha Das, Seokwoo Lee, David Blaauw, Todd Austin, Trevor Mudge, Nam Sung Kim, Krisztian Flautner, “Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation”, IEEE, Vol. 24, No. 6, November-December 2004, pg. 10-20. ©IEEE (.pdf)
- Mini Nanua, David Blaauw, “Noise Analysis Methodology for partially depleted SOI Circuits,” IEEE Journal of Solid State Circuits (JSSC), Vol. 39, No. 9, September 2004, pg. 1581-1585. ©IEEE (.pdf)
- Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Simple Metric for Slew Rate of RC Circuits Based on Two Circuit Moments,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 9, September 2004, pg. 1346-1354. Short paper ©IEEE (.pdf)
- Aseem Agarwal, Vladimir Zolotov, David Blaauw, “Statistical Clock Skew Analysis Considering Intradie-Process Variations,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 23, No. 8, August 2004, pg. 1231-1242. ©IEEE (.pdf)
- Todd Austin, David Blaauw, Scott Mahlke, Trevor Mudge, Chaitali Chakrabarti, Wayne Wolf, “Mobile Supercomputers,” Communications of the ACM, Vol. 37, No. 5, May 2004, pg. 81-83. ©IEEE (.pdf)
- Nam Sung Kim, Krisztian Flautner, David Blaauw and Trevor Mudge, “Circuit and Microarchitectural Techniques Reducing Cache Leakage Power,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 167 – 184. ©IEEE (.pdf)
- Dongwoo Lee, David Blaauw, Dennis Sylvester, “Gate Oxide Leakage Current Analysis and Reduction for VLSI Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 155 – 166. ©IEEE (.pdf)
- Rajeev Rao, Ashish Srivastava, David Blaauw, Dennis Sylvester, “Statistical Analysis of Subthreshold Leakage Current for VLSI Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Volume 12, Issue 2, Feb. 2004, pg. 131 – 139. ©IEEE (.pdf)
- Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Library Compatible Driver Model for On-Chip RLC Transmission Lines,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Volume 23, Issue 1, Jan. 2004, pg. 128 – 136. Short paper ©IEEE (.pdf)
- Nam Sung Kim, Todd Austin, David Blaauw, Trevor Mudge, Krisztian Flautner, Jie Hu, Mary Jane Irwin, Mahmut Kandemir, Vijaykrishnan Narayanan, “Leakage Current: Moore’s Law Meets Static Power,” IEEE Transactions on Computers, Vol. 36, No. 12, December 2003, pg. 68-75 ©IEEE (.pdf)
- Nam Sung Kim, David Blaauw, Trevor Mudge, “Leakage Power Optimization Techniques for Ultra Deep Submicron Multi-Levels Caches,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), November 2003, pgs. 627-632. ©IEEE (.pdf)
- Aseem Agarwal, Vladimir Zolotov, David Blaauw, “Statistical Timing Analysis Using Bounds and Selective Enumeration,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 9, September 2003, pg. 1243-1260 ©IEEE (.pdf)
- Sarma Vrudhula, David Blaauw, Supamas Sirichotiyakul, “Probabilistic Analysis of Interconnect Coupling Noise,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 9, September 2003, pg. 1188-1203 ©IEEE (.pdf)
- David Blaauw, Luciano Lavagno, “Guest editorial,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 8, August 2003, pg. 962-963, guest editorial ©IEEE (.pdf)
- Kanak Agarwal, Dennis Sylvester, and David Blaauw, “An Effective Capacitance Based Driver Output Model for On-Chip RLC Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Proceedings June 2003, pg. 376 – 381, short paper ©IEEE (.pdf)
- Rajendran Panda, Savithri Sundareswaran, David Blaauw, “Impact of Low-Impedance Substrate on Power Supply Integrity,” IEEE Design and Test of Computers (D&T), Vol. 20, No. 3, May-June 2003, pg. 16-22 ©IEEE (.pdf)
- Li Ding, David Blaauw, Pinaki Mazumder, “Accurate Crosstalk Noise Modeling for Early Signal Integrity,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 5, May 2003, pg. 627-634, short paper ©IEEE (.pdf)
- Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, “Cross-Coupled Noise Propagation in VLSI Designs,” International Journal of Analog Integrated Circuits and Signal Processing, Kluwer press, Vol. 35, No. 2-3, I-2, May 2003, pg. 133-142. ©IEEE (.pdf)
- David Blaauw, Supamas Sirichotiyakul, Chanhee Oh, “Driver Modeling and Alignment for Worst-Case Delay Noise,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 11, No. 2, April 2003, pg. 157-166 ©IEEE (.pdf)
- Murat R. Becer, David Blaauw, Rajendran Panda, Ibrahim N. Hajj, “Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 3, March 2003, pg. 337-345, short paper (.pdf)
- Haitian Hu, David Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin Sapatnekar, “Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol 22, No. 1, January 2003, pg. 49-66 (.pdf)
- David Blaauw, Chanhee Oh, Vladimir Zolotov, Arubindo Dasgupta, “Static Electromigration Analysis for On-Chip Signal Interconnects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 22, No. 1, January 2003, pg. 39-48 ©IEEE (.pdf)
- Kaushik Gala, David Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi, “Inductance Model and Analysis Methodology for High-Speed On-Chip Interconnect,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 10, No. 6, December 2002, pg. 730-745 ©IEEE (.pdf)
- David Blaauw, Steve Martin, Krisztian Flautner, Trevor Mudge, “Leakage Current Reduction in VLSI Systems,” Journal of Circuits, Systems, and Computers, Vol.11, No.6, December 2002, pg. 621-635 ©IEEE
- David Blaauw, Vladimir Zolotov, Savithri Sundareswaran, “Slope Propagation in Static Timing Analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 21, No. 10, October 2002, pg. 1180-1195 ©IEEE (.pdf)
- David Blaauw, Luciano Lavagno, “Hot Topics at This Year’s Design Automation Conference,” IEEE Design and Test of Computers (D&T), Vol 19, No. 4, July-August 2002, pg. 72-73, guest editorial ©IEEE (.pdf)
- Alexey Glebov, Sergey Gavrilov, Vladimir Zolotov, David Blaauw, “False-Noise Analysis Using Logic Implications,” ACM Transactions on Design Automation of Electronic Systems (TODAES), Vol. 7, No. 3, July 2002, pg. 1-25 ©IEEE (.pdf)
- Supamas Sirichotiyakul, Tim Edwards, Chanhee Oh, Rajendran Panda, David Blaauw, “Duet: an Accurate Leakage Estimation and Optimization Tool for Dual-Vt Circuits,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 10, No. 2, April 2002, pg. 79-90 ©IEEE (.pdf)
- Min Zhao, Rajendran Panda, Sachin Sapatnekar, David Blaauw, “Hierarchical Analysis of Power Distribution Networks,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 21, No. 2, February 2002, pg. 159-168 ©IEEE (.pdf)
- David Blaauw, Thaddeus Gabara, “Guest Editorial Low Power Electronics and Design,” IEEE Transactions on Very Large Scale Integration Systems (T-VLSI), Vol. 9, No. 1, February 2001, pg. 1-2, guest editorial ©IEEE (.pdf)
- Larry Jones, David Blaauw, “A Cache-based Method for Accelerating Switch-Level Simulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), Vol. 13, No. 2, February 1994, pg. 211-218 ©IEEE (.pdf)
- Daniel Saab, Robert Mueller-Thuns, David Blaauw, Jacob Abraham, Joe Rahmeh, “Hierarchical Multi-level Fault Simulation of Large Systems,” JETTA: Journal of Electric Testing: Theory and Applications, Vol. 1, No. 2, March 1990, pg. 139-149 ©IEEE (.pdf)
Invited Articles
- Li Xu, Jeongsup Lee, Mehdi Saligane, David Blaauw, Dennis Sylvester, “Design Techniques of Integrated Power Management Circuits for Low Power Edge Devices,” IEEE Custom Integrated Circuits Conference (CICC), April 2021©IEEE
- Sechang Oh, Minchang Cho, Xiao Wu, Yejoong Kim, Li-Xuan Chuo, Wootaek Lim, Pat Pannuto, Suyoung Bang, Kaiyuan Yang, Hun-Seok Kim, Dennis Sylvester, David Blaauw, “IoT2 — the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking,” ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2019 ©IEEE (.pdf)
- David Blaauw, “Unlocking New IoT Application Domains Through Ultra-Low Power mm-Scale Sensor Node Design,” Keynote Address at ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2018 ©IEEE (.pdf)
- Taekwang Jang, Gyouho Kim, Benjamin Kempke, Michael Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David Wentzloff, David Blaauw, “Circuit and System Designs of Ultra-low Power Sensor nodes with Illustration in a Miniaturized GNSS Logger for Position Tracking: Part II—Data Communication, Energy Harvesting, Power Management and Digital Circuits,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 64, No. 9, September 2017, pgs. 2250-2262 ©IEEE (.pdf)
- Taekwang Jang, Gyouho Kim, Benjamin Kempke, Michael Henry, Nikolaos Chiotellis, Carl Pfeiffer, Dongkwun Kim, Yejoong Kim, Zhiyoong Foo, Hyeongseok Kim, Anthony Grbic, Dennis Sylvester, Hun-Seok Kim, David Wentzloff, David Blaauw, “Circuit and System Designs of Ultra-low Power Sensor Nodes with illustration in a miniaturized GNSS Logger for Position Tracking: Part I—Analog Circuit Techniques,” IEEE Transactions on Circuits and Systems I (TCAS-I), Vol. 64, No. 9, September 2017, pgs. 2237-2249 ©IEEE (.pdf)
- Wanyeong Jung, Dennis Sylvester, David Blaauw, “Low-Power Switched-Capacitor Converter Techniques for Small IoT Systems,” European Conference on Circuit Theory and Design (ECCTD), September 2017 ©IEEE (.pdf)
- Taekwang Jang, Myungjoon Choi, Yao Shi, Inhee Lee, Dennis Sylvester and David Blaauw, “Millimeter-Scale Computing Platform for Next Generation of Internet of Things,” IEEE International Conference on RFID (RFID), May 2016 ©IEEE (.pdf)
- Taekwang Jang, Seokhyeon Jeong, Myungjoon Choi, Wanyeong Jung, Gyouho Kim, Yen-Po Chen, Yejoong Kim, Wootaek Lim, Dennis Sylvester, David Blaauw, “Key Building Blocks and Integration Strategy of a Miniaturized Wireless Sensor Node,” IEEE European Solid-State Circuits Conference (ESSCIRC), September 2015 ©IEEE (.pdf)
- Nathaniel Pinckney, David Blaauw, Dennis Sylvester, “Low Power Near-Threshold Design,” IEEE Solid-State Circuits Magazine, June 2015 ©IEEE (.pdf)
- Inhee Lee, Yejoong Kim, Suyoung Bang, Gyouho Kim, Hyunsoo Ha, Yen-Po Chen, Dongsuk Jeon, Seokhyun Jeong, Wanyeong Jung, Mohammad Hassan Ghaed, Zhiyoong Foo, Yoonmyung Lee, Jae-Yoon Sim, Dennis Sylvester, and David Blaauw, “Circuit Techniques for Miniaturized Biomedical Sensors,” IEEE Custom Integrated Circuits Conference (CICC), September 2014 ©IEEE (.pdf)
- David Blaauw, Dennis Sylvester, Prabal Dutta, Yoonmyung Lee, Inhee Lee, Sechang Bang, Yejoong Kim, Gyouho Kim, Pat Pannuto, Ye-Shang Kuo, Dongmin Yoon, Wanyeong Jung, Zhi Yoong Foo, Yen-Po Chen, Seok Hyeon Jeong, Myungjoon Choi, “IoT Design Space Challenges: Circuits and Systems” 2014 IEEE Symposium on VLSI Technology, June 2014 ©IEEE (.pdf)
- Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Circuits for Ultra-Low Power Millimeter-Scale Sensor Nodes,” 2012 Asilomar Conference on Signals, Systems and Computers (Asilomar), November 2012 ©IEEE (.pdf)
- David Blaauw, Dennis Sylvester, Yoonmyung Lee, Inhee Lee, Suyoung Bang, Yejoong Kim, Gyouho Kim, Hassan Ghaed , “From Digital Processors to Analog Building Blocks: Enabling New Applications through Ultra-Low Voltage Design,” Invited paper to the IEEE Subthreshold Microelectronics Conference (SubVt), Plenary Keynote, October 2012 ©IEEE (.pdf)
- Nathaniel Pinckney, Korey Sewell, Ronald Dreslinski, Dave Fick, David Blaauw, Dennis Sylvester, Trevor Mudge, “Assessing the Performance Limits of Parallelized Near-Threshold Computing,” ACM/IEEE Design Automation Conference (DAC), June 2012 ©IEEE (.pdf)
- Yoonmyung Lee, YeJoong Kim, Dongmin Yoon, David Blaauw, Dennis Sylvester, “Circuit and System Design Guidelines for Ultra-Low Power Sensor Nodes,” ACM/IEEE Design Automation conference (DAC), June 2012
- Yoonmyung Lee, Dennis Sylvester, David Blaauw, “Synchronization of Ultra-Low Power Wireless Sensor Nodes”, IEEE Internatioal Midwest Symposium on Circuits and Systems (MWSCAS), August 2011 ©IEEE (.pdf)
- Gregory Chen, Scott Hanson, David Blaauw, Dennis Sylvester, “Circuit Design Advances for Wireless Sensing Applications,” Proceedings of the IEEE, Special Issue on Wireless Sensor Networks, Vol. 98, No. 11, November 2010, pg. 1808 – 1827 ©IEEE (.pdf)
- Prashant Singh, Dennis Sylvester, David Blaauw, “Adaptive Sensing and Design for Reliability,” IEEE International Reliability Physics Symposium, May 2010 ©IEEE (.pdf)
- Ronald G. Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvester, Trevor Mudge, “Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits,” Proceedings of the IEEE, Special Issue on Ultra-Low Power Circuit Technology, Vol. 98, No. 2, February 2010, pg. 253 – 266 ©IEEE (.pdf)
- Prashant Singh, Cheng Zhou, Eric Karl, David Blaauw, Dennis Sylvester, “Sensor Driven Reliability and Wearout Management,” IEEE Design and Test of Computers (D&T), Vol. 26, No. 6, November/December 2009, pg. 40 – 49 ©IEEE (.pdf)
- David Blaauw, Shidhartha Das, “CPU, Heal Thyself,” IEEE Spectrum, August 2009 ©IEEE (.pdf)
- Shidhartha Das, David Blaauw, David Bull, Krisztian Flautner, Rob Aitken, “Addressing Design Margins through Error-tolerant Circuits,” ACM/IEEE Design Automation Conference (DAC), July 2009 ©IEEE (.pdf)
- Shidhartha Das, David Blaauw, “Adaptive Design for Nanometer Technology,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2009 ©IEEE (.pdf)
- Dennis Sylvester, Scott Hanson, Mingoo Seok, Yu-Shiang Lin, David Blaauw, “Designing Robust Ultra-Low Power Circuits,” International Electron Devices Meeting (IEDM), December 2008 ©IEEE (.pdf)
- David Blaauw, Kaviraj Chopra, Ashish Srivastava, Lou Sheffer, “Statistical Timing Analysis: Basic Principles to State-of-the-Art,” Transactions on Computer-Aided Design of Integrated Circuits and Systems (T-CAD), invited review article, Vol. 27, No. 4, April 2008, pg. 589-607 ©IEEE (.pdf)
- Scott Hanson, Bo Zhai, David Blaauw, Dennis Sylvester, “Energy-Optimal Circuit Design,” IEEE International SoC Design Conference, November 2007 ©IEEE (.pdf)
- Sanjay Pant, Eli Chiprout, David Blaauw, “Power Grid Physics and Implications for CAD,” IEEE Design and Test of Computers (D & T), Vol. 24, No. 3, May-June 2007, pg. 246-254 ©IEEE (.pdf)
- Dennis Sylvester, Scott Hanson, Bo Zhai, and David Blaauw, “Design strategies for ultra-low voltage circuits,” IEEE International SoC Design Conference, September 2006 ©IEEE (.pdf)
- Scott Hanson, Dennis Sylvester, David Blaauw, “A New Technique for Jointly Optimization Gate Sizing and Supply Voltage in Ultra-Low Energy Circuits,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), September 2006 ©IEEE (.pdf)
- Shidartha Das, David Roberts, Seokwoo Lee, Sanjay Pant, David Blaauw, Todd Austin, Trevor Mudge, Krisztián Flautner, “A Self-Tuning Dynamic Voltage Scaled Processor Using Delay-Error Detection and Correction,” IEEE International Conference on Integrated Circuit Design & Technology (ICICDT), May 2006 ©IEEE (.pdf)
- David Blaauw and Bo Zhai, “Energy Efficient Design for Subthreshold Supply Voltage Operation,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006 ©IEEE (.pdf)
- Rajeev R. Rao, David Blaauw, Dennis Sylvester, Anirudh Devgan, “Modeling and Analysis of Parametric Yield Under Power and Performance Constraints,” IEEE Design and Test of Computers (D&T), Vol. 22, No. 4, July-August 2005, pg. 376-385. ©IEEE (.pdf)
- Todd Austin, Valeria Bertacco, David Blaauw, Trevor Mudge, “Opportunities and Challenges for Better Than Worst-Case Design,” ACM/IEEE Asia-Pacific Design Automation Conference (ASP-DAC), Vol. 1, January 2005, pgs. I/2 – I/7. ©IEEE (.pdf)
- Bo Zhai, David Blaauw, Dennis Sylvester, Krisztian Flautner, “Extended Dynamic Voltage Scaling for Low Power Design,” IEEE International SOC Conference, September 2004, pg. 389-394. ©IEEE (.pdf)
- Todd Austin, David Blaauw, Trevor Mudge, Krisztián Flautner, “Making Typical Silicon Matter with Razor” IEEE Computer, March 2004, pg. 57-65 ©IEEE (.pdf)
- David Blaauw, Kaushik Gala, “Inductance: Implications and Solutions for High-Speed Digital Circuits – Inductance Extraction and Modeling,” IEEE International Solid-State Circuits Conference (ISSCC), February 2002, pg. 548-553. ©IEEE (.pdf)
- David Blaauw, “Signal Integrity Issues in High Performance Design,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos), September 2001, pg. 5.1.1-5.1.4 ©IEEE
- Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao, “Inductance 101: Analysis and Design Issues,” ACM/IEEE Design Automation Conference (DAC), June 2001, pg. 329-334 ©IEEE (.pdf)
- David Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang, “On-Chip Inductance Modeling,” ACM/IEEE Great Lake Symposium on VLSI Design (GLS-VLSI), March 2000, pg. 75-80 ©IEEE (.pdf)
- David Blaauw, “Power Management Issues in High Performance Processor Design,” IEEE Alessandro Volta Workshop on Low-Power Design (VOLTA), March 1999, pg. 2 ©IEEE (.pdf)
- David Blaauw, Abhijit Dharchoudhury, Rajendran Panda, Supamas Sirichotiyakul, Chanhee Oh, Tim Edwards, “Industrial Perspectives on Emerging CAD Tools for Low Power Processor Design,” ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 1998, pg. 143-14 ©IEEE (.pdf)
- Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan, Bogdan Tutuianu, David Bearden, “Methodology for the Design and Analysis of Power Distribution Networks on the PowerPC Microprocessor,” ACM/IEEE Design Automation Conference (DAC), June 1998, pg. 738-743 ©IEEE (.pdf)
Workshop Papers
- Fabio Frustaci, David Blaauw, Dennis Sylvester, Massimo Alioto, “Better-than-Voltage Scaling Energy Reduction in Approximate SRAMs via Bit Dropping and Bit Reuse,” Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS), September 2015
- Pat Pannuto, Yoonmyung Lee, Zhiyoong Foo, David Blaauw and Prabal Dutta, “M3: A mm-scale Wireless Energy Harvesting Sensor Platform,” The First International Workshop on Energy Neutral Sensing Systems (ENSSys), November 2013
- Yoonmyung Lee, Ye-Sheng Kuo, Pat Pannuto, Ron Dreslinski, Prabal Dutta, David Blaauw, “Architectural Challenges for MM-scale Sensor Nodes,” The First International Workshop on the Swarm at the Edge of the Cloud (SEC’13) September 2013
- Ronald Dreslinski, Bharan Giridhar, Nathan Pinckney, David Blaauw, Trevor Mudge, “Reevaluating Fast Dual-Voltage Power Rail Switching Circuitry,” 10th Annual Workshop on Duplicating, Deconstructing and Debunking (WDDD12) June 2012
- Pat Pannuto, Yoonmyung Lee, Ben Kempke, Dennis Sylvester, David Blaauw, Prabal Dutta, “Demo: Ultra-Constrained Sensor Platform Interfacing,” Information Processing in Sensor Networks (IPSN), April 2012
- David Fick, Ronald G. Dreslinski, Bharan Giridhar, Gyouho Kim, Sangwon Seo, Matthew Fojtik, Sudhir Satpathy, Yoonmyung Lee, Daeyeon Kim, Nurrachman Liu, Michael Wiekowski, Gregory Chen, Trevor Mudge, Dennis Sylvester, David Blaauw, “Centip3De: A 7-Layer 3D System With 128 ARM Cortex-M3 Cores and 256MB of DRAM,” 3D Integration Workshop, ACM/IEEE Design Automation and Test in Europe Conference (DATE), March 2011
- Vivek Joshi, Valeriy Sukharev, Andres Torres, Dennis Sylvester, David Blaauw, “Closed-Form Modeling of Layout-Dependent Mechanical Stress,” Design for Manufacturability and Yield (DFM&Y), July 2009
- Ronald Dreslinski, Michael Wieckowski, David Blaauw, Dennis Sylvster, Trevor Mudge, “Near Threshold Computing: Overcoming Performance Degradation from Aggressive Voltage Scaling,” Workshop on Energy-Efficient Design (WEED), June 2009
- Ravikishore Gandikota, David Blaauw, Li Ding, Peivand Tehrani, “Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2009
- David Blaauw, James Kitchener, Braden Phillips, “Optimizing addition for sub-threshold logic,” Forty-Second Asilomar Conference on Signals, Systems and Computers, October 2008
- Jae-Sun Seo, Igor Markov, Dennis Sylvester, David Blaauw, “On the Decreasing Significance of Large Standard Cells in Technology Mapping,” International Workshop on Logic & Synthesis (IWLS), June 2008
- Ravikishore Gandikota, David Blaauw, Dennis Sylvester, “Modeling Crosstalk in Statistical Static Timing Analsys,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008
- Vineeth Veetil, Dennis Sylvester, David Blaauw, “Efficient Monte Carlo based Incremental Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2008
- David Roberts, Ronald G. Dreslinski, Eric Karl, Trevor Mudge, Dennis Sylvester, David Blaauw, “When Homogeneous becomes Heterogeneous,” Parallel Architectures and Compilation Techniques (PACT) workshop on Operating Systems support for Heterogeneous Multicore Architectures, September 2007
- Mini Nanua, “Crosstalk Waveform Modeling Using Wave Fitting,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos) September 2007
- Ravikishore Gandikota, Kaviraj Chopra, David Blaauw, Dennis Sylvester, Murat Becer, “Top-k aggressors set in Delay Noise Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
- Vineeth Veetil, Dennis Sylvester, David Blaauw, “Fast and Accurate Waveform Analysis with Current Source Models,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
- Vineeth Veetil, Dennis Sylvester, David Blaauw, “Criticality Aware Latin Hypercube Sampling for Efficient Statistical Timing Analysis,” ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2007
- Kaviraj Chopra, Narendra Shenoy, David Blaauw, “Variogram Based Robut Extraction of Process Variation,” ACM/IEEE International Workshop on Timing Issues, Febraury 2007
- Fabio Albano, David Blaauw and Dennis Sylvester, Ann Mary Sastry, “Design and Optimization of Hybrid Power Systems for Fully Implantable Medical Devices,” Joint International Meeting Symposium on Bioelectronics, Biointerfaces, and Biomedical Applications 2, November, 2006
- Mini Nanua and David Blaauw, “Receiver Modeling for Static Functional Crosstalk Analysis,” IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (Patmos), September 2006
- Sanjay Pant, David Blaauw, “Timing-aware Decoupling Capacitance Allocation in Power Distribution Networks,” in ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
- Kavi Chopra, Bo Zhai, David Blaauw, Dennis Sylvester “A New Statistical Max Operation for Propagating Skewness in Statistical Timing Analysis”, ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
- Kavi Chopra, Chandramouli Kashyap, Haihua Su, David Blaauw “Current Source Driver Model Synthesis and Worst-case Alignment for Accurate Timing and Noise Analysis”, ACM/IEEE International Workshop on Timing in Synthesis and Specification (TAU), February 2006
- Smitha Shyam, Sujay Phadke, Benjamin Lui, Hitesh Gupta, Valeria Bertacco, David Blaauw, “VOLTaiRE: Low-cost Fault Detection Solutions for VLIW Microprocessors,” Workshop on Introspective Architecture (WISA), February 2006.
- Amir Borna, Christopher Progler, David Blaauw, “Correlation Analysis of CD-Variation and Circuit Performance Under Multiple Sources of Variability,” SPIE Design and Process Integration for Microelectronic Manufacturing II, Lars W. Liebmann, May 2005
- Aseem Agarwal, Kaviraj Chopra, Vladimir Zolotov, David Blaauw, “Statistical Timing Based Optimization Using Gate Sizing,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005
- Amit Jain, David Blaauw, Vladimir Zolotov, “Accurate Gate Delay Model for Arbitrary Waveform Shapes,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2005
- Christopher Progler, Amir Borna, David Blaauw, Pierre Sixt, “Impact of lithography variability on statistical timing behavior,” SPIE Design and Process Integration for Microelectronic Manufacturing II, Lars W. Liebmann, Ed., Vol. 5379, May 2004, pg. 101-110
- Amit Jain, David Blaauw, “Modeling Flip-Flop Delay Dependencies in Timing Analysis,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), February 2004
- Aseem Agarwal, David Blaauw, Vladimir Zolotov, Sarma Vrudhula, “Statistical Timing Analysis Using Bounds and Selective Enumeration,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 29-36
- Aseem Agarwal, David Blaauw, Savithri Sundareswaran, Vladimir Zolotov, Min Zhou, Kaushik Gala, Rajendran Panda, “Path-Based Statistical Timing Analysis Considering Inter- and Intra-Die Correlations,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 16-21
- Himanshu Kaul, Dennis Sylvester, David Blaauw, “Active Shielding of RLC Global Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 98-104
- Kanak Agarwal, Dennis Sylvester, David Blaauw, “A Library Compatible Driving Point Model for On-Chip RLC Interconnects,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 63-69
- Bhavana Thudi, David Blaauw, “Efficient Switching Window Computation For Cross-Talk Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2002, pg. 84-91
- Fadi Aloul, Soha Hassoun, Karem Sakallah, David Blaauw, “Robust SAT-Based Search Algorithm for Leakage Power Reduction,” IEEE International Workshop-Power And Timing Modeling, Optimization and Simulation (Patmos), September 2002, pg. 167-177
- Murat Becer, David Blaauw, Ibrahim Hajj, Rajendran Panda, “Early Probabilistic Noise Estimation for Capacitively Coupled Interconnects,” ACM/IEEE International Workshop on System-Level Interconnect Prediction (SLIP), April 2002, pg. 77-83
- David Blaauw, “Signal Integrity Issues in High Performance Design,” IEEE International Workshop – Power and Timing Modeling, Optimization and Simulation (Patmos), September 2001, pg. 5.1.1-5.1.4
- Vladimir Zolotov, David Blaauw, Rajendran Panda, Chanhee Oh, Savithri Sundareswaran, “Slope Propagation in Static Timing Analysis,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 91-96
- Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, “Driver Modeling and Alignment for Worst-Case Delay Noise,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), December 2000, pg. 1-7
- David Blaauw, Tim Edwards, “Generating False Path Free Timing Graphs Using Node Splitting,” ACM/IEEE Workshop on Timing in Synthesis and Specification (TAU), March 1999, pg. 112-117
- David Blaauw, “Power Management Issues in High Performance Processor Design,” IEEE Alessandro Volta Workshop on Low-Power Design (VOLTA), March 1999, pg. 2
- Daksh Lenther, Satya Pullela, David Blaauw, Shantanu Ganguly, “Hierarchical Clock-network Optimization,” ACM Physical Design Workshop, April 1996, pg. 49-54
- John Willis, Rob Newshutz, Lance Thompson, Jeff Graves, Tom Dillinger, Jeff Snyder, Nimish Radia, Joe Skovira, David Blaauw, Sidhartha Mohanty, Zhiyuan Li, Sandra Samelson, Matt Lin, “MinSim: Optimized, Compiled VHDL Simulation Using Networked & Parallel Computers,” IEEE VHDL International User Forum, October 1993, pg. 137-144
Books
- Ashish Srivastava, Dennis Sylvester, and David Blaauw, “Statistical Analysis and Optimization for VLSI: Timing and Power,” Kluwer Accademic Publishers, 2005 ©IEEE